source: FPGA/FAD/FACT_FAD_TB_lib/hdl/fad_main_tb_struct.vhd@ 228

Last change on this file since 228 was 215, checked in by dneise, 14 years ago
initial commit (2nd part): only VHDL and UCF files were commited.
  • Property svn:executable set to *
File size: 4.5 KB
Line 
1-- VHDL Entity FACT_FAD_TB_lib.FAD_main_TB.symbol
2--
3-- Created:
4-- by - kai.UNKNOWN (E5PCXX)
5-- at - 16:53:17 07.05.2010
6--
7-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
8--
9LIBRARY ieee;
10USE ieee.std_logic_1164.all;
11USE ieee.NUMERIC_STD.all;
12
13ENTITY FAD_main_TB IS
14-- Declarations
15
16END FAD_main_TB ;
17
18--
19-- VHDL Architecture FACT_FAD_TB_lib.FAD_main_TB.struct
20--
21-- Created:
22-- by - kai.UNKNOWN (E5PCXX)
23-- at - 16:53:17 07.05.2010
24--
25-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
26--
27LIBRARY ieee;
28USE ieee.std_logic_1164.all;
29USE ieee.NUMERIC_STD.all;
30use ieee.std_logic_arith.all;
31use ieee.std_logic_unsigned.all;
32library FACT_FAD_lib;
33use FACT_FAD_lib.fad_definitions.all;
34USE ieee.std_logic_textio.all;
35LIBRARY std;
36USE std.textio.all;
37LIBRARY FACT_FAD_test_devices_lib;
38USE FACT_FAD_test_devices_lib.drs4_pack.all;
39
40LIBRARY FACT_FAD_lib;
41LIBRARY FACT_FAD_TB_lib;
42
43ARCHITECTURE struct OF FAD_main_TB IS
44
45 -- Architecture declarations
46
47 -- Internal signal declarations
48 SIGNAL RSRLOAD : std_logic := '0';
49 SIGNAL SRCLK : std_logic := '0';
50 SIGNAL addr : std_logic_vector(9 DOWNTO 0);
51 SIGNAL clk : std_logic;
52 SIGNAL cs : std_logic := '1';
53 SIGNAL data : std_logic_vector(15 DOWNTO 0);
54 SIGNAL int : std_logic;
55 SIGNAL led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0');
56 SIGNAL rd : std_logic := '1';
57 SIGNAL rst : STD_LOGIC;
58 SIGNAL trigger_in : STD_LOGIC;
59 SIGNAL wiz_reset : std_logic := '1';
60 SIGNAL wr : std_logic := '1';
61
62
63 -- Component Declarations
64 COMPONENT FAD_Testboard
65 PORT (
66 clk : IN STD_LOGIC ;
67 trigger : IN STD_LOGIC ;
68 wiz_int : IN std_logic ;
69 RSRLOAD : OUT std_logic := '0';
70 SRCLK : OUT std_logic := '0';
71 led : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
72 wiz_addr : OUT std_logic_vector (9 DOWNTO 0);
73 wiz_cs : OUT std_logic := '1';
74 wiz_rd : OUT std_logic := '1';
75 wiz_reset : OUT std_logic := '1';
76 wiz_wr : OUT std_logic := '1';
77 wiz_data : INOUT std_logic_vector (15 DOWNTO 0)
78 );
79 END COMPONENT;
80 COMPONENT clock_generator
81 GENERIC (
82 clock_period : time := 20 ns;
83 reset_time : time := 50 ns
84 );
85 PORT (
86 clk : OUT STD_LOGIC := '0';
87 rst : OUT STD_LOGIC := '0'
88 );
89 END COMPONENT;
90 COMPONENT simple_trigger
91 GENERIC (
92 TRIGGER_TIME : TIME := 16 us;
93 PULSE_WIDTH : TIME := 1 us
94 );
95 PORT (
96 trigger : OUT std_logic
97 );
98 END COMPONENT;
99 COMPONENT w5300_emulator
100 PORT (
101 addr : IN std_logic_vector (9 DOWNTO 0);
102 data : INOUT std_logic_vector (15 DOWNTO 0);
103 rd : IN std_logic ;
104 wr : IN std_logic
105 );
106 END COMPONENT;
107
108 -- Optional embedded configurations
109 -- pragma synthesis_off
110 FOR ALL : FAD_Testboard USE ENTITY FACT_FAD_lib.FAD_Testboard;
111 FOR ALL : clock_generator USE ENTITY FACT_FAD_TB_lib.clock_generator;
112 FOR ALL : simple_trigger USE ENTITY FACT_FAD_TB_lib.simple_trigger;
113 FOR ALL : w5300_emulator USE ENTITY FACT_FAD_TB_lib.w5300_emulator;
114 -- pragma synthesis_on
115
116
117BEGIN
118
119 -- Instance port mappings.
120 I_testboard : FAD_Testboard
121 PORT MAP (
122 clk => clk,
123 trigger => trigger_in,
124 wiz_int => int,
125 RSRLOAD => RSRLOAD,
126 SRCLK => SRCLK,
127 led => led,
128 wiz_addr => addr,
129 wiz_cs => cs,
130 wiz_rd => rd,
131 wiz_reset => wiz_reset,
132 wiz_wr => wr,
133 wiz_data => data
134 );
135 I_clock_generator : clock_generator
136 GENERIC MAP (
137 clock_period => 20 ns,
138 reset_time => 50 ns
139 )
140 PORT MAP (
141 clk => clk,
142 rst => rst
143 );
144 I_trigger : simple_trigger
145 GENERIC MAP (
146 TRIGGER_TIME => 16 us,
147 PULSE_WIDTH => 1 us
148 )
149 PORT MAP (
150 trigger => trigger_in
151 );
152 I_w5300 : w5300_emulator
153 PORT MAP (
154 addr => addr,
155 data => data,
156 rd => rd,
157 wr => wr
158 );
159
160END struct;
Note: See TracBrowser for help on using the repository browser.