source: FPGA/FAD/FACT_FAD_TB_lib/hdl/trigger_counter_beha.vhd@ 228

Last change on this file since 228 was 215, checked in by dneise, 14 years ago
initial commit (2nd part): only VHDL and UCF files were commited.
  • Property svn:executable set to *
File size: 883 bytes
Line 
1--
2-- VHDL Architecture FACT_FAD_TB_lib.trigger_counter.beha
3--
4-- Created:
5-- by - FPGA_Developer.UNKNOWN (EEPC8)
6-- at - 14:36:14 10.02.2010
7--
8-- using Mentor Graphics HDL Designer(TM) 2008.1 (Build 17)
9--
10LIBRARY ieee;
11USE ieee.std_logic_1164.all;
12use ieee.std_logic_arith.all;
13use ieee.std_logic_unsigned.all;
14
15
16entity trigger_counter is
17 port(
18 trigger_id : out std_logic_vector(47 downto 0);
19 trigger : in std_logic;
20 clk : in std_logic
21 );
22
23end entity trigger_counter;
24
25architecture beha of trigger_counter is
26
27 signal temp_id : integer := 0;
28
29begin
30
31 trigger_id <= X"AA55" & conv_std_logic_vector(temp_id, 32);
32
33 trigger_incr_proc: process(clk)
34 begin
35 if rising_edge(clk) then
36 if (trigger = '1') then
37 temp_id <= temp_id + 1;
38 end if;
39 end if;
40 end process trigger_incr_proc;
41
42end architecture beha;
43
Note: See TracBrowser for help on using the repository browser.