source: FPGA/FAD/FACT_FAD_lib/hdl/DCM_25MHz_noPS_BEHAVIORAL.vhd@ 215

Last change on this file since 215 was 215, checked in by dneise, 14 years ago
initial commit (2nd part): only VHDL and UCF files were commited.
  • Property svn:executable set to *
File size: 6.7 KB
Line 
1-- Coregen VHDL wrapper file modified by HDL Designer
2
3--------------------------------------------------------------------------------
4-- Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved.
5--------------------------------------------------------------------------------
6-- ____ ____
7-- / /\/ /
8-- /___/ \ / Vendor: Xilinx
9-- \ \ \/ Version : 10.1.03
10-- \ \ Application : xaw2vhdl
11-- / / Filename : DCM_25MHz_noPS.vhd
12-- /___/ /\ Timestamp : 03/29/2010 10:36:52
13-- \ \ / \
14-- \___\/\___\
15--
16--Command: xaw2vhdl-st C:/DOKUME~1/FPGA_D~1/LOKALE~1/Temp/coregen_FPGA_Developer/coregen/project/DCM_25MHz_noPS.xaw C:/DOKUME~1/FPGA_D~1/LOKALE~1/Temp/coregen_FPGA_Developer/coregen/project/DCM_25MHz_noPS
17--Design Name: DCM_25MHz_noPS
18--Device: xc3s700a-4fg484
19--
20-- Module DCM_25MHz_noPS
21-- Written for synthesis tool: Precision
22
23library ieee;
24use ieee.std_logic_1164.ALL;
25use ieee.numeric_std.ALL;
26library UNISIM;
27use UNISIM.Vcomponents.ALL;
28
29entity DCM_25MHz_noPS is
30 port ( CLKIN_IN : in std_logic;
31 RST_IN : in std_logic;
32 CLKDV_OUT : out std_logic;
33 CLKIN_IBUFG_OUT : out std_logic;
34 CLK0_OUT : out std_logic;
35 LOCKED_OUT : out std_logic);
36end DCM_25MHz_noPS;
37
38architecture BEHAVIORAL of DCM_25MHz_noPS is
39
40-- hds translate_off
41
42 attribute CLK_FEEDBACK : string ;
43 attribute CLKDV_DIVIDE : string ;
44 attribute CLKFX_DIVIDE : string ;
45 attribute CLKFX_MULTIPLY : string ;
46 attribute CLKIN_DIVIDE_BY_2 : string ;
47 attribute CLKIN_PERIOD : string ;
48 attribute CLKOUT_PHASE_SHIFT : string ;
49 attribute DESKEW_ADJUST : string ;
50 attribute DFS_FREQUENCY_MODE : string ;
51 attribute DLL_FREQUENCY_MODE : string ;
52 attribute DUTY_CYCLE_CORRECTION : string ;
53 attribute FACTORY_JF : string ;
54 attribute PHASE_SHIFT : string ;
55 attribute STARTUP_WAIT : string ;
56 signal CLKDV_BUF : std_logic;
57 signal CLKFB_IN : std_logic;
58 signal CLKIN_IBUFG : std_logic;
59 signal CLK0_BUF : std_logic;
60 signal GND_BIT : std_logic;
61 component BUFG
62 port ( I : in std_logic;
63 O : out std_logic);
64 end component;
65
66 component IBUFG
67 port ( I : in std_logic;
68 O : out std_logic);
69 end component;
70
71 component DCM_SP
72 -- synthesis translate_off
73 generic( CLK_FEEDBACK : string := "1X";
74 CLKDV_DIVIDE : real := 2.0;
75 CLKFX_DIVIDE : integer := 1;
76 CLKFX_MULTIPLY : integer := 4;
77 CLKIN_DIVIDE_BY_2 : boolean := FALSE;
78 CLKIN_PERIOD : real := 10.0;
79 CLKOUT_PHASE_SHIFT : string := "NONE";
80 DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
81 DFS_FREQUENCY_MODE : string := "LOW";
82 DLL_FREQUENCY_MODE : string := "LOW";
83 DUTY_CYCLE_CORRECTION : boolean := TRUE;
84 FACTORY_JF : bit_vector := x"C080";
85 PHASE_SHIFT : integer := 0;
86 STARTUP_WAIT : boolean := FALSE;
87 DSS_MODE : string := "NONE");
88 -- synthesis translate_on
89 port ( CLKIN : in std_logic;
90 CLKFB : in std_logic;
91 RST : in std_logic;
92 PSEN : in std_logic;
93 PSINCDEC : in std_logic;
94 PSCLK : in std_logic;
95 DSSEN : in std_logic;
96 CLK0 : out std_logic;
97 CLK90 : out std_logic;
98 CLK180 : out std_logic;
99 CLK270 : out std_logic;
100 CLKDV : out std_logic;
101 CLK2X : out std_logic;
102 CLK2X180 : out std_logic;
103 CLKFX : out std_logic;
104 CLKFX180 : out std_logic;
105 STATUS : out std_logic_vector (7 downto 0);
106 LOCKED : out std_logic;
107 PSDONE : out std_logic);
108 end component;
109
110 attribute CLK_FEEDBACK of DCM_SP_INST : label is "1X";
111 attribute CLKDV_DIVIDE of DCM_SP_INST : label is "2.0";
112 attribute CLKFX_DIVIDE of DCM_SP_INST : label is "1";
113 attribute CLKFX_MULTIPLY of DCM_SP_INST : label is "4";
114 attribute CLKIN_DIVIDE_BY_2 of DCM_SP_INST : label is "FALSE";
115 attribute CLKIN_PERIOD of DCM_SP_INST : label is "20.000";
116 attribute CLKOUT_PHASE_SHIFT of DCM_SP_INST : label is "NONE";
117 attribute DESKEW_ADJUST of DCM_SP_INST : label is "SYSTEM_SYNCHRONOUS";
118 attribute DFS_FREQUENCY_MODE of DCM_SP_INST : label is "LOW";
119 attribute DLL_FREQUENCY_MODE of DCM_SP_INST : label is "LOW";
120 attribute DUTY_CYCLE_CORRECTION of DCM_SP_INST : label is "TRUE";
121 attribute FACTORY_JF of DCM_SP_INST : label is "C080";
122 attribute PHASE_SHIFT of DCM_SP_INST : label is "0";
123 attribute STARTUP_WAIT of DCM_SP_INST : label is "FALSE";
124
125-- hds translate_on
126
127begin
128
129-- hds translate_off
130
131 GND_BIT <= '0';
132 CLKIN_IBUFG_OUT <= CLKIN_IBUFG;
133 CLK0_OUT <= CLKFB_IN;
134 CLKDV_BUFG_INST : BUFG
135 port map (I=>CLKDV_BUF,
136 O=>CLKDV_OUT);
137
138 CLKIN_IBUFG_INST : IBUFG
139 port map (I=>CLKIN_IN,
140 O=>CLKIN_IBUFG);
141
142 CLK0_BUFG_INST : BUFG
143 port map (I=>CLK0_BUF,
144 O=>CLKFB_IN);
145
146 DCM_SP_INST : DCM_SP
147 -- synthesis translate_off
148 generic map( CLK_FEEDBACK => "1X",
149 CLKDV_DIVIDE => 2.0,
150 CLKFX_DIVIDE => 1,
151 CLKFX_MULTIPLY => 4,
152 CLKIN_DIVIDE_BY_2 => FALSE,
153 CLKIN_PERIOD => 20.000,
154 CLKOUT_PHASE_SHIFT => "NONE",
155 DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
156 DFS_FREQUENCY_MODE => "LOW",
157 DLL_FREQUENCY_MODE => "LOW",
158 DUTY_CYCLE_CORRECTION => TRUE,
159 FACTORY_JF => x"C080",
160 PHASE_SHIFT => 0,
161 STARTUP_WAIT => FALSE)
162 -- synthesis translate_on
163 port map (CLKFB=>CLKFB_IN,
164 CLKIN=>CLKIN_IBUFG,
165 DSSEN=>GND_BIT,
166 PSCLK=>GND_BIT,
167 PSEN=>GND_BIT,
168 PSINCDEC=>GND_BIT,
169 RST=>RST_IN,
170 CLKDV=>CLKDV_BUF,
171 CLKFX=>open,
172 CLKFX180=>open,
173 CLK0=>CLK0_BUF,
174 CLK2X=>open,
175 CLK2X180=>open,
176 CLK90=>open,
177 CLK180=>open,
178 CLK270=>open,
179 LOCKED=>LOCKED_OUT,
180 PSDONE=>open,
181 STATUS=>open);
182
183
184-- hds translate_on
185
186end BEHAVIORAL;
187
188
189
Note: See TracBrowser for help on using the repository browser.