source: FPGA/FAD/FACT_FAD_test_devices_lib/trigger_shaper_beha.vhd@ 226

Last change on this file since 226 was 215, checked in by dneise, 14 years ago
initial commit (2nd part): only VHDL and UCF files were commited.
  • Property svn:executable set to *
File size: 1.3 KB
Line 
1--
2-- VHDL Architecture FACT_FAD_test_devices_lib.trigger_shaper.beha
3--
4-- Created:
5-- by - FPGA_Developer.UNKNOWN (EEPC8)
6-- at - 11:59:20 28.01.2010
7--
8-- using Mentor Graphics HDL Designer(TM) 2008.1 (Build 17)
9--
10LIBRARY ieee;
11USE ieee.std_logic_1164.all;
12USE ieee.NUMERIC_STD.all;
13
14entity trigger_shaper is
15 port(
16 trigger_in : in std_logic;
17 trigger_out : out std_logic;
18 clk : in std_logic;
19 rst : in std_logic
20 );
21end entity trigger_shaper;
22
23architecture beha of trigger_shaper is
24
25-- signal temp_trig : std_logic_vector(3 downto 0);
26 signal temp_trig : std_logic_vector(1 downto 0);
27 signal trigger_flag : std_logic;
28 signal temp_signal : std_logic;
29
30begin
31
32 test_proc : process (clk, rst)
33 begin
34 if (rst = '1') then
35 trigger_out <= '0';
36 trigger_flag <= '0';
37 temp_signal <= '0';
38 elsif rising_edge(clk) then
39-- temp_trig <= temp_trig(2 downto 0) & trigger_in;
40-- trigger_out <= not temp_trig(3) and temp_trig(2);
41 temp_trig <= temp_trig(0) & trigger_in;
42 temp_signal <= not temp_trig(1) and temp_trig(0);
43 trigger_out <= temp_signal and not trigger_flag;
44 if (temp_signal = '1') then
45 trigger_flag <= '1';
46 end if;
47 end if;
48 end process test_proc;
49
50end architecture beha;
51
Note: See TracBrowser for help on using the repository browser.