| 1 | DocumentHdrVersion "1.1"
|
|---|
| 2 | Header (DocumentHdr
|
|---|
| 3 | version 2
|
|---|
| 4 | dmPackageRefs [
|
|---|
| 5 | (DmPackageRef
|
|---|
| 6 | library "ieee"
|
|---|
| 7 | unitName "std_logic_1164"
|
|---|
| 8 | )
|
|---|
| 9 | (DmPackageRef
|
|---|
| 10 | library "IEEE"
|
|---|
| 11 | unitName "STD_LOGIC_ARITH"
|
|---|
| 12 | )
|
|---|
| 13 | (DmPackageRef
|
|---|
| 14 | library "ieee"
|
|---|
| 15 | unitName "STD_LOGIC_UNSIGNED"
|
|---|
| 16 | )
|
|---|
| 17 | (DmPackageRef
|
|---|
| 18 | library "fact_fad_lib"
|
|---|
| 19 | unitName "fad_definitions"
|
|---|
| 20 | )
|
|---|
| 21 | (DmPackageRef
|
|---|
| 22 | library "UNISIM"
|
|---|
| 23 | unitName "VComponents"
|
|---|
| 24 | )
|
|---|
| 25 | (DmPackageRef
|
|---|
| 26 | library "IEEE"
|
|---|
| 27 | unitName "NUMERIC_STD"
|
|---|
| 28 | )
|
|---|
| 29 | (DmPackageRef
|
|---|
| 30 | library "IEEE"
|
|---|
| 31 | unitName "std_logic_signed"
|
|---|
| 32 | )
|
|---|
| 33 | ]
|
|---|
| 34 | instances [
|
|---|
| 35 | (Instance
|
|---|
| 36 | name "I_main_data_generator"
|
|---|
| 37 | duLibraryName "FACT_FAD_lib"
|
|---|
| 38 | duName "data_generator"
|
|---|
| 39 | elements [
|
|---|
| 40 | (GiElement
|
|---|
| 41 | name "RAM_ADDR_WIDTH"
|
|---|
| 42 | type "integer"
|
|---|
| 43 | value "RAMADDRWIDTH64b"
|
|---|
| 44 | )
|
|---|
| 45 | ]
|
|---|
| 46 | mwi 0
|
|---|
| 47 | uid 1399,0
|
|---|
| 48 | )
|
|---|
| 49 | (Instance
|
|---|
| 50 | name "I_main_ethernet"
|
|---|
| 51 | duLibraryName "FACT_FAD_lib"
|
|---|
| 52 | duName "w5300_modul"
|
|---|
| 53 | elements [
|
|---|
| 54 | (GiElement
|
|---|
| 55 | name "RAM_ADDR_WIDTH"
|
|---|
| 56 | type "integer"
|
|---|
| 57 | value "RAMADDRWIDTH64b+2"
|
|---|
| 58 | )
|
|---|
| 59 | ]
|
|---|
| 60 | mwi 0
|
|---|
| 61 | uid 1606,0
|
|---|
| 62 | )
|
|---|
| 63 | (Instance
|
|---|
| 64 | name "I_main_ext_trigger"
|
|---|
| 65 | duLibraryName "FACT_FAD_LIB"
|
|---|
| 66 | duName "trigger_counter"
|
|---|
| 67 | elements [
|
|---|
| 68 | ]
|
|---|
| 69 | mwi 0
|
|---|
| 70 | uid 1768,0
|
|---|
| 71 | )
|
|---|
| 72 | (Instance
|
|---|
| 73 | name "I_main_memory_manager"
|
|---|
| 74 | duLibraryName "FACT_FAD_lib"
|
|---|
| 75 | duName "memory_manager"
|
|---|
| 76 | elements [
|
|---|
| 77 | (GiElement
|
|---|
| 78 | name "RAM_ADDR_WIDTH_64B"
|
|---|
| 79 | type "integer"
|
|---|
| 80 | value "RAMADDRWIDTH64b"
|
|---|
| 81 | )
|
|---|
| 82 | (GiElement
|
|---|
| 83 | name "RAM_ADDR_WIDTH_16B"
|
|---|
| 84 | type "integer"
|
|---|
| 85 | value "RAMADDRWIDTH64b+2"
|
|---|
| 86 | )
|
|---|
| 87 | ]
|
|---|
| 88 | mwi 0
|
|---|
| 89 | uid 2311,0
|
|---|
| 90 | )
|
|---|
| 91 | (Instance
|
|---|
| 92 | name "I_main_clock_gen"
|
|---|
| 93 | duLibraryName "FACT_FAD_lib"
|
|---|
| 94 | duName "clock_generator"
|
|---|
| 95 | elements [
|
|---|
| 96 | ]
|
|---|
| 97 | mwi 0
|
|---|
| 98 | uid 4194,0
|
|---|
| 99 | )
|
|---|
| 100 | (Instance
|
|---|
| 101 | name "I_main_drs_pulser"
|
|---|
| 102 | duLibraryName "FACT_FAD_LIB"
|
|---|
| 103 | duName "drs_pulser"
|
|---|
| 104 | elements [
|
|---|
| 105 | ]
|
|---|
| 106 | mwi 0
|
|---|
| 107 | uid 4903,0
|
|---|
| 108 | )
|
|---|
| 109 | (Instance
|
|---|
| 110 | name "I_main_control_unit"
|
|---|
| 111 | duLibraryName "FACT_FAD_lib"
|
|---|
| 112 | duName "control_unit"
|
|---|
| 113 | elements [
|
|---|
| 114 | ]
|
|---|
| 115 | mwi 0
|
|---|
| 116 | uid 5072,0
|
|---|
| 117 | )
|
|---|
| 118 | (Instance
|
|---|
| 119 | name "I_main_adc_buffer"
|
|---|
| 120 | duLibraryName "FACT_FAD_lib"
|
|---|
| 121 | duName "adc_buffer"
|
|---|
| 122 | elements [
|
|---|
| 123 | ]
|
|---|
| 124 | mwi 0
|
|---|
| 125 | uid 5678,0
|
|---|
| 126 | )
|
|---|
| 127 | (Instance
|
|---|
| 128 | name "I_main_SPI_interface"
|
|---|
| 129 | duLibraryName "FACT_FAD_lib"
|
|---|
| 130 | duName "spi_interface"
|
|---|
| 131 | elements [
|
|---|
| 132 | ]
|
|---|
| 133 | mwi 0
|
|---|
| 134 | uid 5793,0
|
|---|
| 135 | )
|
|---|
| 136 | (Instance
|
|---|
| 137 | name "I5"
|
|---|
| 138 | duLibraryName "moduleware"
|
|---|
| 139 | duName "and"
|
|---|
| 140 | elements [
|
|---|
| 141 | ]
|
|---|
| 142 | mwi 1
|
|---|
| 143 | uid 6529,0
|
|---|
| 144 | )
|
|---|
| 145 | (Instance
|
|---|
| 146 | name "U_4"
|
|---|
| 147 | duLibraryName "FACT_FAD_lib"
|
|---|
| 148 | duName "dataRAM_64b_16b_width14_5"
|
|---|
| 149 | elements [
|
|---|
| 150 | ]
|
|---|
| 151 | mwi 0
|
|---|
| 152 | uid 8277,0
|
|---|
| 153 | )
|
|---|
| 154 | ]
|
|---|
| 155 | libraryRefs [
|
|---|
| 156 | "ieee"
|
|---|
| 157 | "fact_fad_lib"
|
|---|
| 158 | "UNISIM"
|
|---|
| 159 | ]
|
|---|
| 160 | )
|
|---|
| 161 | version "29.1"
|
|---|
| 162 | appVersion "2009.2 (Build 10)"
|
|---|
| 163 | noEmbeddedEditors 1
|
|---|
| 164 | model (BlockDiag
|
|---|
| 165 | VExpander (VariableExpander
|
|---|
| 166 | vvMap [
|
|---|
| 167 | (vvPair
|
|---|
| 168 | variable "HDLDir"
|
|---|
| 169 | value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hdl"
|
|---|
| 170 | )
|
|---|
| 171 | (vvPair
|
|---|
| 172 | variable "HDSDir"
|
|---|
| 173 | value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds"
|
|---|
| 174 | )
|
|---|
| 175 | (vvPair
|
|---|
| 176 | variable "SideDataDesignDir"
|
|---|
| 177 | value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info"
|
|---|
| 178 | )
|
|---|
| 179 | (vvPair
|
|---|
| 180 | variable "SideDataUserDir"
|
|---|
| 181 | value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user"
|
|---|
| 182 | )
|
|---|
| 183 | (vvPair
|
|---|
| 184 | variable "SourceDir"
|
|---|
| 185 | value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds"
|
|---|
| 186 | )
|
|---|
| 187 | (vvPair
|
|---|
| 188 | variable "appl"
|
|---|
| 189 | value "HDL Designer"
|
|---|
| 190 | )
|
|---|
| 191 | (vvPair
|
|---|
| 192 | variable "arch_name"
|
|---|
| 193 | value "struct"
|
|---|
| 194 | )
|
|---|
| 195 | (vvPair
|
|---|
| 196 | variable "config"
|
|---|
| 197 | value "%(unit)_%(view)_config"
|
|---|
| 198 | )
|
|---|
| 199 | (vvPair
|
|---|
| 200 | variable "d"
|
|---|
| 201 | value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main"
|
|---|
| 202 | )
|
|---|
| 203 | (vvPair
|
|---|
| 204 | variable "d_logical"
|
|---|
| 205 | value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_main"
|
|---|
| 206 | )
|
|---|
| 207 | (vvPair
|
|---|
| 208 | variable "date"
|
|---|
| 209 | value "02.07.2010"
|
|---|
| 210 | )
|
|---|
| 211 | (vvPair
|
|---|
| 212 | variable "day"
|
|---|
| 213 | value "Fr"
|
|---|
| 214 | )
|
|---|
| 215 | (vvPair
|
|---|
| 216 | variable "day_long"
|
|---|
| 217 | value "Freitag"
|
|---|
| 218 | )
|
|---|
| 219 | (vvPair
|
|---|
| 220 | variable "dd"
|
|---|
| 221 | value "02"
|
|---|
| 222 | )
|
|---|
| 223 | (vvPair
|
|---|
| 224 | variable "entity_name"
|
|---|
| 225 | value "FAD_main"
|
|---|
| 226 | )
|
|---|
| 227 | (vvPair
|
|---|
| 228 | variable "ext"
|
|---|
| 229 | value "<TBD>"
|
|---|
| 230 | )
|
|---|
| 231 | (vvPair
|
|---|
| 232 | variable "f"
|
|---|
| 233 | value "struct.bd"
|
|---|
| 234 | )
|
|---|
| 235 | (vvPair
|
|---|
| 236 | variable "f_logical"
|
|---|
| 237 | value "struct.bd"
|
|---|
| 238 | )
|
|---|
| 239 | (vvPair
|
|---|
| 240 | variable "f_noext"
|
|---|
| 241 | value "struct"
|
|---|
| 242 | )
|
|---|
| 243 | (vvPair
|
|---|
| 244 | variable "group"
|
|---|
| 245 | value "UNKNOWN"
|
|---|
| 246 | )
|
|---|
| 247 | (vvPair
|
|---|
| 248 | variable "host"
|
|---|
| 249 | value "TU-CC4900F8C7D2"
|
|---|
| 250 | )
|
|---|
| 251 | (vvPair
|
|---|
| 252 | variable "language"
|
|---|
| 253 | value "VHDL"
|
|---|
| 254 | )
|
|---|
| 255 | (vvPair
|
|---|
| 256 | variable "library"
|
|---|
| 257 | value "FACT_FAD_lib"
|
|---|
| 258 | )
|
|---|
| 259 | (vvPair
|
|---|
| 260 | variable "library_downstream_HdsLintPlugin"
|
|---|
| 261 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
|
|---|
| 262 | )
|
|---|
| 263 | (vvPair
|
|---|
| 264 | variable "library_downstream_ISEPARInvoke"
|
|---|
| 265 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
|
|---|
| 266 | )
|
|---|
| 267 | (vvPair
|
|---|
| 268 | variable "library_downstream_ImpactInvoke"
|
|---|
| 269 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
|
|---|
| 270 | )
|
|---|
| 271 | (vvPair
|
|---|
| 272 | variable "library_downstream_ModelSimCompiler"
|
|---|
| 273 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
|
|---|
| 274 | )
|
|---|
| 275 | (vvPair
|
|---|
| 276 | variable "library_downstream_PrecisionSynthesisDataPrep"
|
|---|
| 277 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ps"
|
|---|
| 278 | )
|
|---|
| 279 | (vvPair
|
|---|
| 280 | variable "library_downstream_XSTDataPrep"
|
|---|
| 281 | value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
|
|---|
| 282 | )
|
|---|
| 283 | (vvPair
|
|---|
| 284 | variable "mm"
|
|---|
| 285 | value "07"
|
|---|
| 286 | )
|
|---|
| 287 | (vvPair
|
|---|
| 288 | variable "module_name"
|
|---|
| 289 | value "FAD_main"
|
|---|
| 290 | )
|
|---|
| 291 | (vvPair
|
|---|
| 292 | variable "month"
|
|---|
| 293 | value "Jul"
|
|---|
| 294 | )
|
|---|
| 295 | (vvPair
|
|---|
| 296 | variable "month_long"
|
|---|
| 297 | value "Juli"
|
|---|
| 298 | )
|
|---|
| 299 | (vvPair
|
|---|
| 300 | variable "p"
|
|---|
| 301 | value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd"
|
|---|
| 302 | )
|
|---|
| 303 | (vvPair
|
|---|
| 304 | variable "p_logical"
|
|---|
| 305 | value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd"
|
|---|
| 306 | )
|
|---|
| 307 | (vvPair
|
|---|
| 308 | variable "package_name"
|
|---|
| 309 | value "<Undefined Variable>"
|
|---|
| 310 | )
|
|---|
| 311 | (vvPair
|
|---|
| 312 | variable "project_name"
|
|---|
| 313 | value "FACT_FAD"
|
|---|
| 314 | )
|
|---|
| 315 | (vvPair
|
|---|
| 316 | variable "series"
|
|---|
| 317 | value "HDL Designer Series"
|
|---|
| 318 | )
|
|---|
| 319 | (vvPair
|
|---|
| 320 | variable "task_DesignCompilerPath"
|
|---|
| 321 | value "<TBD>"
|
|---|
| 322 | )
|
|---|
| 323 | (vvPair
|
|---|
| 324 | variable "task_LeonardoPath"
|
|---|
| 325 | value "<TBD>"
|
|---|
| 326 | )
|
|---|
| 327 | (vvPair
|
|---|
| 328 | variable "task_ModelSimPath"
|
|---|
| 329 | value "<TBD>"
|
|---|
| 330 | )
|
|---|
| 331 | (vvPair
|
|---|
| 332 | variable "task_NC-SimPath"
|
|---|
| 333 | value "<TBD>"
|
|---|
| 334 | )
|
|---|
| 335 | (vvPair
|
|---|
| 336 | variable "task_PrecisionRTLPath"
|
|---|
| 337 | value "<TBD>"
|
|---|
| 338 | )
|
|---|
| 339 | (vvPair
|
|---|
| 340 | variable "task_QuestaSimPath"
|
|---|
| 341 | value "<TBD>"
|
|---|
| 342 | )
|
|---|
| 343 | (vvPair
|
|---|
| 344 | variable "task_VCSPath"
|
|---|
| 345 | value "<TBD>"
|
|---|
| 346 | )
|
|---|
| 347 | (vvPair
|
|---|
| 348 | variable "this_ext"
|
|---|
| 349 | value "bd"
|
|---|
| 350 | )
|
|---|
| 351 | (vvPair
|
|---|
| 352 | variable "this_file"
|
|---|
| 353 | value "struct"
|
|---|
| 354 | )
|
|---|
| 355 | (vvPair
|
|---|
| 356 | variable "this_file_logical"
|
|---|
| 357 | value "struct"
|
|---|
| 358 | )
|
|---|
| 359 | (vvPair
|
|---|
| 360 | variable "time"
|
|---|
| 361 | value "10:38:34"
|
|---|
| 362 | )
|
|---|
| 363 | (vvPair
|
|---|
| 364 | variable "unit"
|
|---|
| 365 | value "FAD_main"
|
|---|
| 366 | )
|
|---|
| 367 | (vvPair
|
|---|
| 368 | variable "user"
|
|---|
| 369 | value "dneise"
|
|---|
| 370 | )
|
|---|
| 371 | (vvPair
|
|---|
| 372 | variable "version"
|
|---|
| 373 | value "2009.2 (Build 10)"
|
|---|
| 374 | )
|
|---|
| 375 | (vvPair
|
|---|
| 376 | variable "view"
|
|---|
| 377 | value "struct"
|
|---|
| 378 | )
|
|---|
| 379 | (vvPair
|
|---|
| 380 | variable "year"
|
|---|
| 381 | value "2010"
|
|---|
| 382 | )
|
|---|
| 383 | (vvPair
|
|---|
| 384 | variable "yy"
|
|---|
| 385 | value "10"
|
|---|
| 386 | )
|
|---|
| 387 | ]
|
|---|
| 388 | )
|
|---|
| 389 | LanguageMgr "VhdlLangMgr"
|
|---|
| 390 | uid 52,0
|
|---|
| 391 | optionalChildren [
|
|---|
| 392 | *1 (PortIoIn
|
|---|
| 393 | uid 290,0
|
|---|
| 394 | shape (CompositeShape
|
|---|
| 395 | uid 291,0
|
|---|
| 396 | va (VaSet
|
|---|
| 397 | vasetType 1
|
|---|
| 398 | fg "0,0,32768"
|
|---|
| 399 | )
|
|---|
| 400 | optionalChildren [
|
|---|
| 401 | (Pentagon
|
|---|
| 402 | uid 292,0
|
|---|
| 403 | sl 0
|
|---|
| 404 | ro 270
|
|---|
| 405 | xt "-28000,18625,-26500,19375"
|
|---|
| 406 | )
|
|---|
| 407 | (Line
|
|---|
| 408 | uid 293,0
|
|---|
| 409 | sl 0
|
|---|
| 410 | ro 270
|
|---|
| 411 | xt "-26500,19000,-26000,19000"
|
|---|
| 412 | pts [
|
|---|
| 413 | "-26500,19000"
|
|---|
| 414 | "-26000,19000"
|
|---|
| 415 | ]
|
|---|
| 416 | )
|
|---|
| 417 | ]
|
|---|
| 418 | )
|
|---|
| 419 | stc 0
|
|---|
| 420 | sf 1
|
|---|
| 421 | tg (WTG
|
|---|
| 422 | uid 294,0
|
|---|
| 423 | ps "PortIoTextPlaceStrategy"
|
|---|
| 424 | stg "STSignalDisplayStrategy"
|
|---|
| 425 | f (Text
|
|---|
| 426 | uid 295,0
|
|---|
| 427 | va (VaSet
|
|---|
| 428 | )
|
|---|
| 429 | xt "-30900,18500,-29000,19500"
|
|---|
| 430 | st "CLK"
|
|---|
| 431 | ju 2
|
|---|
| 432 | blo "-29000,19300"
|
|---|
| 433 | tm "WireNameMgr"
|
|---|
| 434 | )
|
|---|
| 435 | )
|
|---|
| 436 | )
|
|---|
| 437 | *2 (Net
|
|---|
| 438 | uid 320,0
|
|---|
| 439 | decl (Decl
|
|---|
| 440 | n "write_ea"
|
|---|
| 441 | t "std_logic_vector"
|
|---|
| 442 | b "(0 downto 0)"
|
|---|
| 443 | o 80
|
|---|
| 444 | suid 2,0
|
|---|
| 445 | i "\"0\""
|
|---|
| 446 | )
|
|---|
| 447 | declText (MLText
|
|---|
| 448 | uid 321,0
|
|---|
| 449 | va (VaSet
|
|---|
| 450 | font "Courier New,8,0"
|
|---|
| 451 | )
|
|---|
| 452 | xt "-85000,84200,-41500,85000"
|
|---|
| 453 | st "SIGNAL write_ea : std_logic_vector(0 downto 0) := \"0\""
|
|---|
| 454 | )
|
|---|
| 455 | )
|
|---|
| 456 | *3 (Net
|
|---|
| 457 | uid 326,0
|
|---|
| 458 | decl (Decl
|
|---|
| 459 | n "addr_out"
|
|---|
| 460 | t "std_logic_vector"
|
|---|
| 461 | b "(RAMADDRWIDTH64b-1 DOWNTO 0)"
|
|---|
| 462 | o 35
|
|---|
| 463 | suid 3,0
|
|---|
| 464 | )
|
|---|
| 465 | declText (MLText
|
|---|
| 466 | uid 327,0
|
|---|
| 467 | va (VaSet
|
|---|
| 468 | font "Courier New,8,0"
|
|---|
| 469 | )
|
|---|
| 470 | xt "-85000,47400,-45000,48200"
|
|---|
| 471 | st "SIGNAL addr_out : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
|
|---|
| 472 | )
|
|---|
| 473 | )
|
|---|
| 474 | *4 (Net
|
|---|
| 475 | uid 332,0
|
|---|
| 476 | decl (Decl
|
|---|
| 477 | n "data_out"
|
|---|
| 478 | t "std_logic_vector"
|
|---|
| 479 | b "(63 DOWNTO 0)"
|
|---|
| 480 | o 53
|
|---|
| 481 | suid 4,0
|
|---|
| 482 | )
|
|---|
| 483 | declText (MLText
|
|---|
| 484 | uid 333,0
|
|---|
| 485 | va (VaSet
|
|---|
| 486 | font "Courier New,8,0"
|
|---|
| 487 | )
|
|---|
| 488 | xt "-85000,61800,-52500,62600"
|
|---|
| 489 | st "SIGNAL data_out : std_logic_vector(63 DOWNTO 0)"
|
|---|
| 490 | )
|
|---|
| 491 | )
|
|---|
| 492 | *5 (Net
|
|---|
| 493 | uid 362,0
|
|---|
| 494 | decl (Decl
|
|---|
| 495 | n "ram_addr"
|
|---|
| 496 | t "std_logic_vector"
|
|---|
| 497 | b "(RAMADDRWIDTH64b+1 DOWNTO 0)"
|
|---|
| 498 | o 62
|
|---|
| 499 | suid 9,0
|
|---|
| 500 | )
|
|---|
| 501 | declText (MLText
|
|---|
| 502 | uid 363,0
|
|---|
| 503 | va (VaSet
|
|---|
| 504 | font "Courier New,8,0"
|
|---|
| 505 | )
|
|---|
| 506 | xt "-85000,69000,-45000,69800"
|
|---|
| 507 | st "SIGNAL ram_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
|
|---|
| 508 | )
|
|---|
| 509 | )
|
|---|
| 510 | *6 (Net
|
|---|
| 511 | uid 368,0
|
|---|
| 512 | decl (Decl
|
|---|
| 513 | n "ram_data"
|
|---|
| 514 | t "std_logic_vector"
|
|---|
| 515 | b "(15 downto 0)"
|
|---|
| 516 | o 63
|
|---|
| 517 | suid 10,0
|
|---|
| 518 | )
|
|---|
| 519 | declText (MLText
|
|---|
| 520 | uid 369,0
|
|---|
| 521 | va (VaSet
|
|---|
| 522 | font "Courier New,8,0"
|
|---|
| 523 | )
|
|---|
| 524 | xt "-85000,69800,-52500,70600"
|
|---|
| 525 | st "SIGNAL ram_data : std_logic_vector(15 downto 0)"
|
|---|
| 526 | )
|
|---|
| 527 | )
|
|---|
| 528 | *7 (Net
|
|---|
| 529 | uid 374,0
|
|---|
| 530 | decl (Decl
|
|---|
| 531 | n "wiz_reset"
|
|---|
| 532 | t "std_logic"
|
|---|
| 533 | o 28
|
|---|
| 534 | suid 11,0
|
|---|
| 535 | i "'1'"
|
|---|
| 536 | )
|
|---|
| 537 | declText (MLText
|
|---|
| 538 | uid 375,0
|
|---|
| 539 | va (VaSet
|
|---|
| 540 | font "Courier New,8,0"
|
|---|
| 541 | )
|
|---|
| 542 | xt "-85000,39800,-45000,40600"
|
|---|
| 543 | st "wiz_reset : std_logic := '1'"
|
|---|
| 544 | )
|
|---|
| 545 | )
|
|---|
| 546 | *8 (Net
|
|---|
| 547 | uid 382,0
|
|---|
| 548 | decl (Decl
|
|---|
| 549 | n "wiz_addr"
|
|---|
| 550 | t "std_logic_vector"
|
|---|
| 551 | b "(9 DOWNTO 0)"
|
|---|
| 552 | o 25
|
|---|
| 553 | suid 12,0
|
|---|
| 554 | )
|
|---|
| 555 | declText (MLText
|
|---|
| 556 | uid 383,0
|
|---|
| 557 | va (VaSet
|
|---|
| 558 | font "Courier New,8,0"
|
|---|
| 559 | )
|
|---|
| 560 | xt "-85000,37400,-56500,38200"
|
|---|
| 561 | st "wiz_addr : std_logic_vector(9 DOWNTO 0)"
|
|---|
| 562 | )
|
|---|
| 563 | )
|
|---|
| 564 | *9 (Net
|
|---|
| 565 | uid 390,0
|
|---|
| 566 | decl (Decl
|
|---|
| 567 | n "wiz_data"
|
|---|
| 568 | t "std_logic_vector"
|
|---|
| 569 | b "(15 DOWNTO 0)"
|
|---|
| 570 | o 31
|
|---|
| 571 | suid 13,0
|
|---|
| 572 | )
|
|---|
| 573 | declText (MLText
|
|---|
| 574 | uid 391,0
|
|---|
| 575 | va (VaSet
|
|---|
| 576 | font "Courier New,8,0"
|
|---|
| 577 | )
|
|---|
| 578 | xt "-85000,42200,-56000,43000"
|
|---|
| 579 | st "wiz_data : std_logic_vector(15 DOWNTO 0)"
|
|---|
| 580 | )
|
|---|
| 581 | )
|
|---|
| 582 | *10 (Net
|
|---|
| 583 | uid 398,0
|
|---|
| 584 | decl (Decl
|
|---|
| 585 | n "wiz_cs"
|
|---|
| 586 | t "std_logic"
|
|---|
| 587 | o 26
|
|---|
| 588 | suid 14,0
|
|---|
| 589 | i "'1'"
|
|---|
| 590 | )
|
|---|
| 591 | declText (MLText
|
|---|
| 592 | uid 399,0
|
|---|
| 593 | va (VaSet
|
|---|
| 594 | font "Courier New,8,0"
|
|---|
| 595 | )
|
|---|
| 596 | xt "-85000,38200,-45000,39000"
|
|---|
| 597 | st "wiz_cs : std_logic := '1'"
|
|---|
| 598 | )
|
|---|
| 599 | )
|
|---|
| 600 | *11 (Net
|
|---|
| 601 | uid 406,0
|
|---|
| 602 | decl (Decl
|
|---|
| 603 | n "wiz_wr"
|
|---|
| 604 | t "std_logic"
|
|---|
| 605 | o 29
|
|---|
| 606 | suid 15,0
|
|---|
| 607 | i "'1'"
|
|---|
| 608 | )
|
|---|
| 609 | declText (MLText
|
|---|
| 610 | uid 407,0
|
|---|
| 611 | va (VaSet
|
|---|
| 612 | font "Courier New,8,0"
|
|---|
| 613 | )
|
|---|
| 614 | xt "-85000,40600,-45000,41400"
|
|---|
| 615 | st "wiz_wr : std_logic := '1'"
|
|---|
| 616 | )
|
|---|
| 617 | )
|
|---|
| 618 | *12 (Net
|
|---|
| 619 | uid 422,0
|
|---|
| 620 | decl (Decl
|
|---|
| 621 | n "wiz_rd"
|
|---|
| 622 | t "std_logic"
|
|---|
| 623 | o 27
|
|---|
| 624 | suid 17,0
|
|---|
| 625 | i "'1'"
|
|---|
| 626 | )
|
|---|
| 627 | declText (MLText
|
|---|
| 628 | uid 423,0
|
|---|
| 629 | va (VaSet
|
|---|
| 630 | font "Courier New,8,0"
|
|---|
| 631 | )
|
|---|
| 632 | xt "-85000,39000,-45000,39800"
|
|---|
| 633 | st "wiz_rd : std_logic := '1'"
|
|---|
| 634 | )
|
|---|
| 635 | )
|
|---|
| 636 | *13 (Net
|
|---|
| 637 | uid 430,0
|
|---|
| 638 | decl (Decl
|
|---|
| 639 | n "wiz_int"
|
|---|
| 640 | t "std_logic"
|
|---|
| 641 | o 11
|
|---|
| 642 | suid 18,0
|
|---|
| 643 | )
|
|---|
| 644 | declText (MLText
|
|---|
| 645 | uid 431,0
|
|---|
| 646 | va (VaSet
|
|---|
| 647 | font "Courier New,8,0"
|
|---|
| 648 | )
|
|---|
| 649 | xt "-85000,26200,-66500,27000"
|
|---|
| 650 | st "wiz_int : std_logic"
|
|---|
| 651 | )
|
|---|
| 652 | )
|
|---|
| 653 | *14 (PortIoOut
|
|---|
| 654 | uid 454,0
|
|---|
| 655 | shape (CompositeShape
|
|---|
| 656 | uid 455,0
|
|---|
| 657 | va (VaSet
|
|---|
| 658 | vasetType 1
|
|---|
| 659 | fg "0,0,32768"
|
|---|
| 660 | )
|
|---|
| 661 | optionalChildren [
|
|---|
| 662 | (Pentagon
|
|---|
| 663 | uid 456,0
|
|---|
| 664 | sl 0
|
|---|
| 665 | ro 270
|
|---|
| 666 | xt "153500,51625,155000,52375"
|
|---|
| 667 | )
|
|---|
| 668 | (Line
|
|---|
| 669 | uid 457,0
|
|---|
| 670 | sl 0
|
|---|
| 671 | ro 270
|
|---|
| 672 | xt "153000,52000,153500,52000"
|
|---|
| 673 | pts [
|
|---|
| 674 | "153000,52000"
|
|---|
| 675 | "153500,52000"
|
|---|
| 676 | ]
|
|---|
| 677 | )
|
|---|
| 678 | ]
|
|---|
| 679 | )
|
|---|
| 680 | sf 1
|
|---|
| 681 | tg (WTG
|
|---|
| 682 | uid 458,0
|
|---|
| 683 | ps "PortIoTextPlaceStrategy"
|
|---|
| 684 | stg "STSignalDisplayStrategy"
|
|---|
| 685 | f (Text
|
|---|
| 686 | uid 459,0
|
|---|
| 687 | va (VaSet
|
|---|
| 688 | )
|
|---|
| 689 | xt "156000,51500,159600,52500"
|
|---|
| 690 | st "wiz_reset"
|
|---|
| 691 | blo "156000,52300"
|
|---|
| 692 | tm "WireNameMgr"
|
|---|
| 693 | )
|
|---|
| 694 | )
|
|---|
| 695 | )
|
|---|
| 696 | *15 (PortIoOut
|
|---|
| 697 | uid 460,0
|
|---|
| 698 | shape (CompositeShape
|
|---|
| 699 | uid 461,0
|
|---|
| 700 | va (VaSet
|
|---|
| 701 | vasetType 1
|
|---|
| 702 | fg "0,0,32768"
|
|---|
| 703 | )
|
|---|
| 704 | optionalChildren [
|
|---|
| 705 | (Pentagon
|
|---|
| 706 | uid 462,0
|
|---|
| 707 | sl 0
|
|---|
| 708 | ro 270
|
|---|
| 709 | xt "153500,59625,155000,60375"
|
|---|
| 710 | )
|
|---|
| 711 | (Line
|
|---|
| 712 | uid 463,0
|
|---|
| 713 | sl 0
|
|---|
| 714 | ro 270
|
|---|
| 715 | xt "153000,60000,153500,60000"
|
|---|
| 716 | pts [
|
|---|
| 717 | "153000,60000"
|
|---|
| 718 | "153500,60000"
|
|---|
| 719 | ]
|
|---|
| 720 | )
|
|---|
| 721 | ]
|
|---|
| 722 | )
|
|---|
| 723 | sf 1
|
|---|
| 724 | tg (WTG
|
|---|
| 725 | uid 464,0
|
|---|
| 726 | ps "PortIoTextPlaceStrategy"
|
|---|
| 727 | stg "STSignalDisplayStrategy"
|
|---|
| 728 | f (Text
|
|---|
| 729 | uid 465,0
|
|---|
| 730 | va (VaSet
|
|---|
| 731 | )
|
|---|
| 732 | xt "156000,59500,162000,60500"
|
|---|
| 733 | st "wiz_addr : (9:0)"
|
|---|
| 734 | blo "156000,60300"
|
|---|
| 735 | tm "WireNameMgr"
|
|---|
| 736 | )
|
|---|
| 737 | )
|
|---|
| 738 | )
|
|---|
| 739 | *16 (PortIoInOut
|
|---|
| 740 | uid 466,0
|
|---|
| 741 | shape (CompositeShape
|
|---|
| 742 | uid 467,0
|
|---|
| 743 | va (VaSet
|
|---|
| 744 | vasetType 1
|
|---|
| 745 | fg "0,0,32768"
|
|---|
| 746 | )
|
|---|
| 747 | optionalChildren [
|
|---|
| 748 | (Hexagon
|
|---|
| 749 | uid 468,0
|
|---|
| 750 | sl 0
|
|---|
| 751 | xt "153500,60625,155000,61375"
|
|---|
| 752 | )
|
|---|
| 753 | (Line
|
|---|
| 754 | uid 469,0
|
|---|
| 755 | sl 0
|
|---|
| 756 | xt "153000,61000,153500,61000"
|
|---|
| 757 | pts [
|
|---|
| 758 | "153000,61000"
|
|---|
| 759 | "153500,61000"
|
|---|
| 760 | ]
|
|---|
| 761 | )
|
|---|
| 762 | ]
|
|---|
| 763 | )
|
|---|
| 764 | sf 1
|
|---|
| 765 | tg (WTG
|
|---|
| 766 | uid 470,0
|
|---|
| 767 | ps "PortIoTextPlaceStrategy"
|
|---|
| 768 | stg "STSignalDisplayStrategy"
|
|---|
| 769 | f (Text
|
|---|
| 770 | uid 471,0
|
|---|
| 771 | va (VaSet
|
|---|
| 772 | )
|
|---|
| 773 | xt "156000,60500,162300,61500"
|
|---|
| 774 | st "wiz_data : (15:0)"
|
|---|
| 775 | blo "156000,61300"
|
|---|
| 776 | tm "WireNameMgr"
|
|---|
| 777 | )
|
|---|
| 778 | )
|
|---|
| 779 | )
|
|---|
| 780 | *17 (PortIoOut
|
|---|
| 781 | uid 472,0
|
|---|
| 782 | shape (CompositeShape
|
|---|
| 783 | uid 473,0
|
|---|
| 784 | va (VaSet
|
|---|
| 785 | vasetType 1
|
|---|
| 786 | fg "0,0,32768"
|
|---|
| 787 | )
|
|---|
| 788 | optionalChildren [
|
|---|
| 789 | (Pentagon
|
|---|
| 790 | uid 474,0
|
|---|
| 791 | sl 0
|
|---|
| 792 | ro 270
|
|---|
| 793 | xt "153500,52625,155000,53375"
|
|---|
| 794 | )
|
|---|
| 795 | (Line
|
|---|
| 796 | uid 475,0
|
|---|
| 797 | sl 0
|
|---|
| 798 | ro 270
|
|---|
| 799 | xt "153000,53000,153500,53000"
|
|---|
| 800 | pts [
|
|---|
| 801 | "153000,53000"
|
|---|
| 802 | "153500,53000"
|
|---|
| 803 | ]
|
|---|
| 804 | )
|
|---|
| 805 | ]
|
|---|
| 806 | )
|
|---|
| 807 | stc 0
|
|---|
| 808 | sf 1
|
|---|
| 809 | tg (WTG
|
|---|
| 810 | uid 476,0
|
|---|
| 811 | ps "PortIoTextPlaceStrategy"
|
|---|
| 812 | stg "STSignalDisplayStrategy"
|
|---|
| 813 | f (Text
|
|---|
| 814 | uid 477,0
|
|---|
| 815 | va (VaSet
|
|---|
| 816 | )
|
|---|
| 817 | xt "156000,52500,158700,53500"
|
|---|
| 818 | st "wiz_cs"
|
|---|
| 819 | blo "156000,53300"
|
|---|
| 820 | tm "WireNameMgr"
|
|---|
| 821 | )
|
|---|
| 822 | )
|
|---|
| 823 | )
|
|---|
| 824 | *18 (PortIoOut
|
|---|
| 825 | uid 478,0
|
|---|
| 826 | shape (CompositeShape
|
|---|
| 827 | uid 479,0
|
|---|
| 828 | va (VaSet
|
|---|
| 829 | vasetType 1
|
|---|
| 830 | fg "0,0,32768"
|
|---|
| 831 | )
|
|---|
| 832 | optionalChildren [
|
|---|
| 833 | (Pentagon
|
|---|
| 834 | uid 480,0
|
|---|
| 835 | sl 0
|
|---|
| 836 | ro 270
|
|---|
| 837 | xt "153500,53625,155000,54375"
|
|---|
| 838 | )
|
|---|
| 839 | (Line
|
|---|
| 840 | uid 481,0
|
|---|
| 841 | sl 0
|
|---|
| 842 | ro 270
|
|---|
| 843 | xt "153000,54000,153500,54000"
|
|---|
| 844 | pts [
|
|---|
| 845 | "153000,54000"
|
|---|
| 846 | "153500,54000"
|
|---|
| 847 | ]
|
|---|
| 848 | )
|
|---|
| 849 | ]
|
|---|
| 850 | )
|
|---|
| 851 | stc 0
|
|---|
| 852 | sf 1
|
|---|
| 853 | tg (WTG
|
|---|
| 854 | uid 482,0
|
|---|
| 855 | ps "PortIoTextPlaceStrategy"
|
|---|
| 856 | stg "STSignalDisplayStrategy"
|
|---|
| 857 | f (Text
|
|---|
| 858 | uid 483,0
|
|---|
| 859 | va (VaSet
|
|---|
| 860 | )
|
|---|
| 861 | xt "156000,53500,158700,54500"
|
|---|
| 862 | st "wiz_wr"
|
|---|
| 863 | blo "156000,54300"
|
|---|
| 864 | tm "WireNameMgr"
|
|---|
| 865 | )
|
|---|
| 866 | )
|
|---|
| 867 | )
|
|---|
| 868 | *19 (PortIoOut
|
|---|
| 869 | uid 484,0
|
|---|
| 870 | shape (CompositeShape
|
|---|
| 871 | uid 485,0
|
|---|
| 872 | va (VaSet
|
|---|
| 873 | vasetType 1
|
|---|
| 874 | fg "0,0,32768"
|
|---|
| 875 | )
|
|---|
| 876 | optionalChildren [
|
|---|
| 877 | (Pentagon
|
|---|
| 878 | uid 486,0
|
|---|
| 879 | sl 0
|
|---|
| 880 | ro 270
|
|---|
| 881 | xt "153500,70625,155000,71375"
|
|---|
| 882 | )
|
|---|
| 883 | (Line
|
|---|
| 884 | uid 487,0
|
|---|
| 885 | sl 0
|
|---|
| 886 | ro 270
|
|---|
| 887 | xt "153000,71000,153500,71000"
|
|---|
| 888 | pts [
|
|---|
| 889 | "153000,71000"
|
|---|
| 890 | "153500,71000"
|
|---|
| 891 | ]
|
|---|
| 892 | )
|
|---|
| 893 | ]
|
|---|
| 894 | )
|
|---|
| 895 | sf 1
|
|---|
| 896 | tg (WTG
|
|---|
| 897 | uid 488,0
|
|---|
| 898 | ps "PortIoTextPlaceStrategy"
|
|---|
| 899 | stg "STSignalDisplayStrategy"
|
|---|
| 900 | f (Text
|
|---|
| 901 | uid 489,0
|
|---|
| 902 | va (VaSet
|
|---|
| 903 | )
|
|---|
| 904 | xt "156000,70500,160000,71500"
|
|---|
| 905 | st "led : (7:0)"
|
|---|
| 906 | blo "156000,71300"
|
|---|
| 907 | tm "WireNameMgr"
|
|---|
| 908 | )
|
|---|
| 909 | )
|
|---|
| 910 | )
|
|---|
| 911 | *20 (PortIoOut
|
|---|
| 912 | uid 490,0
|
|---|
| 913 | shape (CompositeShape
|
|---|
| 914 | uid 491,0
|
|---|
| 915 | va (VaSet
|
|---|
| 916 | vasetType 1
|
|---|
| 917 | fg "0,0,32768"
|
|---|
| 918 | )
|
|---|
| 919 | optionalChildren [
|
|---|
| 920 | (Pentagon
|
|---|
| 921 | uid 492,0
|
|---|
| 922 | sl 0
|
|---|
| 923 | ro 270
|
|---|
| 924 | xt "153500,54625,155000,55375"
|
|---|
| 925 | )
|
|---|
| 926 | (Line
|
|---|
| 927 | uid 493,0
|
|---|
| 928 | sl 0
|
|---|
| 929 | ro 270
|
|---|
| 930 | xt "153000,55000,153500,55000"
|
|---|
| 931 | pts [
|
|---|
| 932 | "153000,55000"
|
|---|
| 933 | "153500,55000"
|
|---|
| 934 | ]
|
|---|
| 935 | )
|
|---|
| 936 | ]
|
|---|
| 937 | )
|
|---|
| 938 | stc 0
|
|---|
| 939 | sf 1
|
|---|
| 940 | tg (WTG
|
|---|
| 941 | uid 494,0
|
|---|
| 942 | ps "PortIoTextPlaceStrategy"
|
|---|
| 943 | stg "STSignalDisplayStrategy"
|
|---|
| 944 | f (Text
|
|---|
| 945 | uid 495,0
|
|---|
| 946 | va (VaSet
|
|---|
| 947 | )
|
|---|
| 948 | xt "156000,54500,158600,55500"
|
|---|
| 949 | st "wiz_rd"
|
|---|
| 950 | blo "156000,55300"
|
|---|
| 951 | tm "WireNameMgr"
|
|---|
| 952 | )
|
|---|
| 953 | )
|
|---|
| 954 | )
|
|---|
| 955 | *21 (PortIoIn
|
|---|
| 956 | uid 496,0
|
|---|
| 957 | shape (CompositeShape
|
|---|
| 958 | uid 497,0
|
|---|
| 959 | va (VaSet
|
|---|
| 960 | vasetType 1
|
|---|
| 961 | fg "0,0,32768"
|
|---|
| 962 | )
|
|---|
| 963 | optionalChildren [
|
|---|
| 964 | (Pentagon
|
|---|
| 965 | uid 498,0
|
|---|
| 966 | sl 0
|
|---|
| 967 | ro 90
|
|---|
| 968 | xt "153500,55625,155000,56375"
|
|---|
| 969 | )
|
|---|
| 970 | (Line
|
|---|
| 971 | uid 499,0
|
|---|
| 972 | sl 0
|
|---|
| 973 | ro 90
|
|---|
| 974 | xt "153000,56000,153500,56000"
|
|---|
| 975 | pts [
|
|---|
| 976 | "153500,56000"
|
|---|
| 977 | "153000,56000"
|
|---|
| 978 | ]
|
|---|
| 979 | )
|
|---|
| 980 | ]
|
|---|
| 981 | )
|
|---|
| 982 | stc 0
|
|---|
| 983 | sf 1
|
|---|
| 984 | tg (WTG
|
|---|
| 985 | uid 500,0
|
|---|
| 986 | ps "PortIoTextPlaceStrategy"
|
|---|
| 987 | stg "STSignalDisplayStrategy"
|
|---|
| 988 | f (Text
|
|---|
| 989 | uid 501,0
|
|---|
| 990 | va (VaSet
|
|---|
| 991 | )
|
|---|
| 992 | xt "156000,55500,158700,56500"
|
|---|
| 993 | st "wiz_int"
|
|---|
| 994 | blo "156000,56300"
|
|---|
| 995 | tm "WireNameMgr"
|
|---|
| 996 | )
|
|---|
| 997 | )
|
|---|
| 998 | )
|
|---|
| 999 | *22 (SaComponent
|
|---|
| 1000 | uid 1399,0
|
|---|
| 1001 | optionalChildren [
|
|---|
| 1002 | *23 (CptPort
|
|---|
| 1003 | uid 1352,0
|
|---|
| 1004 | ps "OnEdgeStrategy"
|
|---|
| 1005 | shape (Triangle
|
|---|
| 1006 | uid 1353,0
|
|---|
| 1007 | ro 90
|
|---|
| 1008 | va (VaSet
|
|---|
| 1009 | vasetType 1
|
|---|
| 1010 | fg "0,65535,0"
|
|---|
| 1011 | )
|
|---|
| 1012 | xt "18250,47625,19000,48375"
|
|---|
| 1013 | )
|
|---|
| 1014 | tg (CPTG
|
|---|
| 1015 | uid 1354,0
|
|---|
| 1016 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1017 | stg "VerticalLayoutStrategy"
|
|---|
| 1018 | f (Text
|
|---|
| 1019 | uid 1355,0
|
|---|
| 1020 | va (VaSet
|
|---|
| 1021 | )
|
|---|
| 1022 | xt "20000,47500,21300,48500"
|
|---|
| 1023 | st "clk"
|
|---|
| 1024 | blo "20000,48300"
|
|---|
| 1025 | )
|
|---|
| 1026 | )
|
|---|
| 1027 | thePort (LogicalPort
|
|---|
| 1028 | decl (Decl
|
|---|
| 1029 | n "clk"
|
|---|
| 1030 | t "std_logic"
|
|---|
| 1031 | preAdd 0
|
|---|
| 1032 | posAdd 0
|
|---|
| 1033 | o 1
|
|---|
| 1034 | suid 1,0
|
|---|
| 1035 | )
|
|---|
| 1036 | )
|
|---|
| 1037 | )
|
|---|
| 1038 | *24 (CptPort
|
|---|
| 1039 | uid 1356,0
|
|---|
| 1040 | ps "OnEdgeStrategy"
|
|---|
| 1041 | shape (Triangle
|
|---|
| 1042 | uid 1357,0
|
|---|
| 1043 | ro 90
|
|---|
| 1044 | va (VaSet
|
|---|
| 1045 | vasetType 1
|
|---|
| 1046 | fg "0,65535,0"
|
|---|
| 1047 | )
|
|---|
| 1048 | xt "40000,49625,40750,50375"
|
|---|
| 1049 | )
|
|---|
| 1050 | tg (CPTG
|
|---|
| 1051 | uid 1358,0
|
|---|
| 1052 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1053 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1054 | f (Text
|
|---|
| 1055 | uid 1359,0
|
|---|
| 1056 | va (VaSet
|
|---|
| 1057 | )
|
|---|
| 1058 | xt "32800,49500,39000,50500"
|
|---|
| 1059 | st "data_out : (63:0)"
|
|---|
| 1060 | ju 2
|
|---|
| 1061 | blo "39000,50300"
|
|---|
| 1062 | )
|
|---|
| 1063 | )
|
|---|
| 1064 | thePort (LogicalPort
|
|---|
| 1065 | m 1
|
|---|
| 1066 | decl (Decl
|
|---|
| 1067 | n "data_out"
|
|---|
| 1068 | t "std_logic_vector"
|
|---|
| 1069 | b "(63 downto 0)"
|
|---|
| 1070 | preAdd 0
|
|---|
| 1071 | posAdd 0
|
|---|
| 1072 | o 2
|
|---|
| 1073 | suid 2,0
|
|---|
| 1074 | )
|
|---|
| 1075 | )
|
|---|
| 1076 | )
|
|---|
| 1077 | *25 (CptPort
|
|---|
| 1078 | uid 1360,0
|
|---|
| 1079 | ps "OnEdgeStrategy"
|
|---|
| 1080 | shape (Triangle
|
|---|
| 1081 | uid 1361,0
|
|---|
| 1082 | ro 90
|
|---|
| 1083 | va (VaSet
|
|---|
| 1084 | vasetType 1
|
|---|
| 1085 | fg "0,65535,0"
|
|---|
| 1086 | )
|
|---|
| 1087 | xt "40000,48625,40750,49375"
|
|---|
| 1088 | )
|
|---|
| 1089 | tg (CPTG
|
|---|
| 1090 | uid 1362,0
|
|---|
| 1091 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1092 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1093 | f (Text
|
|---|
| 1094 | uid 1363,0
|
|---|
| 1095 | va (VaSet
|
|---|
| 1096 | )
|
|---|
| 1097 | xt "24900,48500,39000,49500"
|
|---|
| 1098 | st "addr_out : (RAM_ADDR_WIDTH-1:0)"
|
|---|
| 1099 | ju 2
|
|---|
| 1100 | blo "39000,49300"
|
|---|
| 1101 | )
|
|---|
| 1102 | )
|
|---|
| 1103 | thePort (LogicalPort
|
|---|
| 1104 | m 1
|
|---|
| 1105 | decl (Decl
|
|---|
| 1106 | n "addr_out"
|
|---|
| 1107 | t "std_logic_vector"
|
|---|
| 1108 | b "(RAM_ADDR_WIDTH-1 downto 0)"
|
|---|
| 1109 | preAdd 0
|
|---|
| 1110 | posAdd 0
|
|---|
| 1111 | o 3
|
|---|
| 1112 | suid 3,0
|
|---|
| 1113 | )
|
|---|
| 1114 | )
|
|---|
| 1115 | )
|
|---|
| 1116 | *26 (CptPort
|
|---|
| 1117 | uid 1372,0
|
|---|
| 1118 | ps "OnEdgeStrategy"
|
|---|
| 1119 | shape (Triangle
|
|---|
| 1120 | uid 1373,0
|
|---|
| 1121 | ro 90
|
|---|
| 1122 | va (VaSet
|
|---|
| 1123 | vasetType 1
|
|---|
| 1124 | fg "0,65535,0"
|
|---|
| 1125 | )
|
|---|
| 1126 | xt "40000,47625,40750,48375"
|
|---|
| 1127 | )
|
|---|
| 1128 | tg (CPTG
|
|---|
| 1129 | uid 1374,0
|
|---|
| 1130 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1131 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1132 | f (Text
|
|---|
| 1133 | uid 1375,0
|
|---|
| 1134 | va (VaSet
|
|---|
| 1135 | )
|
|---|
| 1136 | xt "33200,47500,39000,48500"
|
|---|
| 1137 | st "write_ea : (0:0)"
|
|---|
| 1138 | ju 2
|
|---|
| 1139 | blo "39000,48300"
|
|---|
| 1140 | )
|
|---|
| 1141 | )
|
|---|
| 1142 | thePort (LogicalPort
|
|---|
| 1143 | m 1
|
|---|
| 1144 | decl (Decl
|
|---|
| 1145 | n "write_ea"
|
|---|
| 1146 | t "std_logic_vector"
|
|---|
| 1147 | b "(0 downto 0)"
|
|---|
| 1148 | preAdd 0
|
|---|
| 1149 | posAdd 0
|
|---|
| 1150 | o 4
|
|---|
| 1151 | suid 6,0
|
|---|
| 1152 | i "\"0\""
|
|---|
| 1153 | )
|
|---|
| 1154 | )
|
|---|
| 1155 | )
|
|---|
| 1156 | *27 (CptPort
|
|---|
| 1157 | uid 1376,0
|
|---|
| 1158 | ps "OnEdgeStrategy"
|
|---|
| 1159 | shape (Triangle
|
|---|
| 1160 | uid 2344,0
|
|---|
| 1161 | ro 270
|
|---|
| 1162 | va (VaSet
|
|---|
| 1163 | vasetType 1
|
|---|
| 1164 | fg "0,65535,0"
|
|---|
| 1165 | )
|
|---|
| 1166 | xt "40000,62625,40750,63375"
|
|---|
| 1167 | )
|
|---|
| 1168 | tg (CPTG
|
|---|
| 1169 | uid 1378,0
|
|---|
| 1170 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1171 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1172 | f (Text
|
|---|
| 1173 | uid 1379,0
|
|---|
| 1174 | va (VaSet
|
|---|
| 1175 | )
|
|---|
| 1176 | xt "22700,62500,39000,63500"
|
|---|
| 1177 | st "ram_start_addr : (RAM_ADDR_WIDTH-1:0)"
|
|---|
| 1178 | ju 2
|
|---|
| 1179 | blo "39000,63300"
|
|---|
| 1180 | )
|
|---|
| 1181 | )
|
|---|
| 1182 | thePort (LogicalPort
|
|---|
| 1183 | decl (Decl
|
|---|
| 1184 | n "ram_start_addr"
|
|---|
| 1185 | t "std_logic_vector"
|
|---|
| 1186 | b "(RAM_ADDR_WIDTH-1 downto 0)"
|
|---|
| 1187 | preAdd 0
|
|---|
| 1188 | posAdd 0
|
|---|
| 1189 | o 5
|
|---|
| 1190 | suid 7,0
|
|---|
| 1191 | )
|
|---|
| 1192 | )
|
|---|
| 1193 | )
|
|---|
| 1194 | *28 (CptPort
|
|---|
| 1195 | uid 1384,0
|
|---|
| 1196 | ps "OnEdgeStrategy"
|
|---|
| 1197 | shape (Triangle
|
|---|
| 1198 | uid 1385,0
|
|---|
| 1199 | ro 90
|
|---|
| 1200 | va (VaSet
|
|---|
| 1201 | vasetType 1
|
|---|
| 1202 | fg "0,65535,0"
|
|---|
| 1203 | )
|
|---|
| 1204 | xt "18250,85625,19000,86375"
|
|---|
| 1205 | )
|
|---|
| 1206 | tg (CPTG
|
|---|
| 1207 | uid 1386,0
|
|---|
| 1208 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1209 | stg "VerticalLayoutStrategy"
|
|---|
| 1210 | f (Text
|
|---|
| 1211 | uid 1387,0
|
|---|
| 1212 | va (VaSet
|
|---|
| 1213 | )
|
|---|
| 1214 | xt "20000,85500,25900,86500"
|
|---|
| 1215 | st "board_id : (3:0)"
|
|---|
| 1216 | blo "20000,86300"
|
|---|
| 1217 | )
|
|---|
| 1218 | )
|
|---|
| 1219 | thePort (LogicalPort
|
|---|
| 1220 | decl (Decl
|
|---|
| 1221 | n "board_id"
|
|---|
| 1222 | t "std_logic_vector"
|
|---|
| 1223 | b "(3 downto 0)"
|
|---|
| 1224 | preAdd 0
|
|---|
| 1225 | posAdd 0
|
|---|
| 1226 | o 23
|
|---|
| 1227 | suid 9,0
|
|---|
| 1228 | )
|
|---|
| 1229 | )
|
|---|
| 1230 | )
|
|---|
| 1231 | *29 (CptPort
|
|---|
| 1232 | uid 1388,0
|
|---|
| 1233 | ps "OnEdgeStrategy"
|
|---|
| 1234 | shape (Triangle
|
|---|
| 1235 | uid 1389,0
|
|---|
| 1236 | ro 90
|
|---|
| 1237 | va (VaSet
|
|---|
| 1238 | vasetType 1
|
|---|
| 1239 | fg "0,65535,0"
|
|---|
| 1240 | )
|
|---|
| 1241 | xt "18250,67625,19000,68375"
|
|---|
| 1242 | )
|
|---|
| 1243 | tg (CPTG
|
|---|
| 1244 | uid 1390,0
|
|---|
| 1245 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1246 | stg "VerticalLayoutStrategy"
|
|---|
| 1247 | f (Text
|
|---|
| 1248 | uid 1391,0
|
|---|
| 1249 | va (VaSet
|
|---|
| 1250 | )
|
|---|
| 1251 | xt "20000,67500,26800,68500"
|
|---|
| 1252 | st "trigger_id : (47:0)"
|
|---|
| 1253 | blo "20000,68300"
|
|---|
| 1254 | )
|
|---|
| 1255 | )
|
|---|
| 1256 | thePort (LogicalPort
|
|---|
| 1257 | decl (Decl
|
|---|
| 1258 | n "trigger_id"
|
|---|
| 1259 | t "std_logic_vector"
|
|---|
| 1260 | b "(47 downto 0)"
|
|---|
| 1261 | preAdd 0
|
|---|
| 1262 | posAdd 0
|
|---|
| 1263 | o 25
|
|---|
| 1264 | suid 10,0
|
|---|
| 1265 | )
|
|---|
| 1266 | )
|
|---|
| 1267 | )
|
|---|
| 1268 | *30 (CptPort
|
|---|
| 1269 | uid 1392,0
|
|---|
| 1270 | ps "OnEdgeStrategy"
|
|---|
| 1271 | shape (Triangle
|
|---|
| 1272 | uid 1393,0
|
|---|
| 1273 | ro 90
|
|---|
| 1274 | va (VaSet
|
|---|
| 1275 | vasetType 1
|
|---|
| 1276 | fg "0,65535,0"
|
|---|
| 1277 | )
|
|---|
| 1278 | xt "18250,68625,19000,69375"
|
|---|
| 1279 | )
|
|---|
| 1280 | tg (CPTG
|
|---|
| 1281 | uid 1394,0
|
|---|
| 1282 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1283 | stg "VerticalLayoutStrategy"
|
|---|
| 1284 | f (Text
|
|---|
| 1285 | uid 1395,0
|
|---|
| 1286 | va (VaSet
|
|---|
| 1287 | )
|
|---|
| 1288 | xt "20000,68500,22800,69500"
|
|---|
| 1289 | st "trigger"
|
|---|
| 1290 | blo "20000,69300"
|
|---|
| 1291 | )
|
|---|
| 1292 | )
|
|---|
| 1293 | thePort (LogicalPort
|
|---|
| 1294 | decl (Decl
|
|---|
| 1295 | n "trigger"
|
|---|
| 1296 | t "std_logic"
|
|---|
| 1297 | preAdd 0
|
|---|
| 1298 | posAdd 0
|
|---|
| 1299 | o 26
|
|---|
| 1300 | suid 11,0
|
|---|
| 1301 | )
|
|---|
| 1302 | )
|
|---|
| 1303 | )
|
|---|
| 1304 | *31 (CptPort
|
|---|
| 1305 | uid 1676,0
|
|---|
| 1306 | ps "OnEdgeStrategy"
|
|---|
| 1307 | shape (Triangle
|
|---|
| 1308 | uid 1677,0
|
|---|
| 1309 | ro 90
|
|---|
| 1310 | va (VaSet
|
|---|
| 1311 | vasetType 1
|
|---|
| 1312 | fg "0,65535,0"
|
|---|
| 1313 | )
|
|---|
| 1314 | xt "18250,86625,19000,87375"
|
|---|
| 1315 | )
|
|---|
| 1316 | tg (CPTG
|
|---|
| 1317 | uid 1678,0
|
|---|
| 1318 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1319 | stg "VerticalLayoutStrategy"
|
|---|
| 1320 | f (Text
|
|---|
| 1321 | uid 1679,0
|
|---|
| 1322 | va (VaSet
|
|---|
| 1323 | )
|
|---|
| 1324 | xt "20000,86500,25700,87500"
|
|---|
| 1325 | st "crate_id : (1:0)"
|
|---|
| 1326 | blo "20000,87300"
|
|---|
| 1327 | )
|
|---|
| 1328 | )
|
|---|
| 1329 | thePort (LogicalPort
|
|---|
| 1330 | decl (Decl
|
|---|
| 1331 | n "crate_id"
|
|---|
| 1332 | t "std_logic_vector"
|
|---|
| 1333 | b "(1 downto 0)"
|
|---|
| 1334 | o 24
|
|---|
| 1335 | suid 12,0
|
|---|
| 1336 | )
|
|---|
| 1337 | )
|
|---|
| 1338 | )
|
|---|
| 1339 | *32 (CptPort
|
|---|
| 1340 | uid 2562,0
|
|---|
| 1341 | ps "OnEdgeStrategy"
|
|---|
| 1342 | shape (Triangle
|
|---|
| 1343 | uid 2563,0
|
|---|
| 1344 | ro 270
|
|---|
| 1345 | va (VaSet
|
|---|
| 1346 | vasetType 1
|
|---|
| 1347 | fg "0,65535,0"
|
|---|
| 1348 | )
|
|---|
| 1349 | xt "40000,63625,40750,64375"
|
|---|
| 1350 | )
|
|---|
| 1351 | tg (CPTG
|
|---|
| 1352 | uid 2564,0
|
|---|
| 1353 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1354 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1355 | f (Text
|
|---|
| 1356 | uid 2565,0
|
|---|
| 1357 | va (VaSet
|
|---|
| 1358 | )
|
|---|
| 1359 | xt "33700,63500,39000,64500"
|
|---|
| 1360 | st "ram_write_ea"
|
|---|
| 1361 | ju 2
|
|---|
| 1362 | blo "39000,64300"
|
|---|
| 1363 | )
|
|---|
| 1364 | )
|
|---|
| 1365 | thePort (LogicalPort
|
|---|
| 1366 | decl (Decl
|
|---|
| 1367 | n "ram_write_ea"
|
|---|
| 1368 | t "std_logic"
|
|---|
| 1369 | o 6
|
|---|
| 1370 | suid 16,0
|
|---|
| 1371 | )
|
|---|
| 1372 | )
|
|---|
| 1373 | )
|
|---|
| 1374 | *33 (CptPort
|
|---|
| 1375 | uid 2566,0
|
|---|
| 1376 | ps "OnEdgeStrategy"
|
|---|
| 1377 | shape (Triangle
|
|---|
| 1378 | uid 2567,0
|
|---|
| 1379 | ro 90
|
|---|
| 1380 | va (VaSet
|
|---|
| 1381 | vasetType 1
|
|---|
| 1382 | fg "0,65535,0"
|
|---|
| 1383 | )
|
|---|
| 1384 | xt "40000,64625,40750,65375"
|
|---|
| 1385 | )
|
|---|
| 1386 | tg (CPTG
|
|---|
| 1387 | uid 2568,0
|
|---|
| 1388 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1389 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1390 | f (Text
|
|---|
| 1391 | uid 2569,0
|
|---|
| 1392 | va (VaSet
|
|---|
| 1393 | )
|
|---|
| 1394 | xt "32700,64500,39000,65500"
|
|---|
| 1395 | st "ram_write_ready"
|
|---|
| 1396 | ju 2
|
|---|
| 1397 | blo "39000,65300"
|
|---|
| 1398 | )
|
|---|
| 1399 | )
|
|---|
| 1400 | thePort (LogicalPort
|
|---|
| 1401 | m 1
|
|---|
| 1402 | decl (Decl
|
|---|
| 1403 | n "ram_write_ready"
|
|---|
| 1404 | t "std_logic"
|
|---|
| 1405 | o 7
|
|---|
| 1406 | suid 17,0
|
|---|
| 1407 | i "'0'"
|
|---|
| 1408 | )
|
|---|
| 1409 | )
|
|---|
| 1410 | )
|
|---|
| 1411 | *34 (CptPort
|
|---|
| 1412 | uid 2570,0
|
|---|
| 1413 | ps "OnEdgeStrategy"
|
|---|
| 1414 | shape (Triangle
|
|---|
| 1415 | uid 2571,0
|
|---|
| 1416 | ro 270
|
|---|
| 1417 | va (VaSet
|
|---|
| 1418 | vasetType 1
|
|---|
| 1419 | fg "0,65535,0"
|
|---|
| 1420 | )
|
|---|
| 1421 | xt "40000,73625,40750,74375"
|
|---|
| 1422 | )
|
|---|
| 1423 | tg (CPTG
|
|---|
| 1424 | uid 2572,0
|
|---|
| 1425 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1426 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1427 | f (Text
|
|---|
| 1428 | uid 2573,0
|
|---|
| 1429 | va (VaSet
|
|---|
| 1430 | )
|
|---|
| 1431 | xt "36000,73500,39000,74500"
|
|---|
| 1432 | st "roi_max"
|
|---|
| 1433 | ju 2
|
|---|
| 1434 | blo "39000,74300"
|
|---|
| 1435 | )
|
|---|
| 1436 | )
|
|---|
| 1437 | thePort (LogicalPort
|
|---|
| 1438 | decl (Decl
|
|---|
| 1439 | n "roi_max"
|
|---|
| 1440 | t "roi_max_type"
|
|---|
| 1441 | o 18
|
|---|
| 1442 | suid 18,0
|
|---|
| 1443 | )
|
|---|
| 1444 | )
|
|---|
| 1445 | )
|
|---|
| 1446 | *35 (CptPort
|
|---|
| 1447 | uid 2614,0
|
|---|
| 1448 | ps "OnEdgeStrategy"
|
|---|
| 1449 | shape (Triangle
|
|---|
| 1450 | uid 2615,0
|
|---|
| 1451 | ro 270
|
|---|
| 1452 | va (VaSet
|
|---|
| 1453 | vasetType 1
|
|---|
| 1454 | fg "0,65535,0"
|
|---|
| 1455 | )
|
|---|
| 1456 | xt "40000,79625,40750,80375"
|
|---|
| 1457 | )
|
|---|
| 1458 | tg (CPTG
|
|---|
| 1459 | uid 2616,0
|
|---|
| 1460 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1461 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1462 | f (Text
|
|---|
| 1463 | uid 2617,0
|
|---|
| 1464 | va (VaSet
|
|---|
| 1465 | )
|
|---|
| 1466 | xt "35600,79500,39000,80500"
|
|---|
| 1467 | st "roi_array"
|
|---|
| 1468 | ju 2
|
|---|
| 1469 | blo "39000,80300"
|
|---|
| 1470 | )
|
|---|
| 1471 | )
|
|---|
| 1472 | thePort (LogicalPort
|
|---|
| 1473 | decl (Decl
|
|---|
| 1474 | n "roi_array"
|
|---|
| 1475 | t "roi_array_type"
|
|---|
| 1476 | o 17
|
|---|
| 1477 | suid 19,0
|
|---|
| 1478 | )
|
|---|
| 1479 | )
|
|---|
| 1480 | )
|
|---|
| 1481 | *36 (CptPort
|
|---|
| 1482 | uid 2624,0
|
|---|
| 1483 | ps "OnEdgeStrategy"
|
|---|
| 1484 | shape (Triangle
|
|---|
| 1485 | uid 2625,0
|
|---|
| 1486 | ro 270
|
|---|
| 1487 | va (VaSet
|
|---|
| 1488 | vasetType 1
|
|---|
| 1489 | fg "0,65535,0"
|
|---|
| 1490 | )
|
|---|
| 1491 | xt "40000,74625,40750,75375"
|
|---|
| 1492 | )
|
|---|
| 1493 | tg (CPTG
|
|---|
| 1494 | uid 2626,0
|
|---|
| 1495 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1496 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1497 | f (Text
|
|---|
| 1498 | uid 2627,0
|
|---|
| 1499 | va (VaSet
|
|---|
| 1500 | )
|
|---|
| 1501 | xt "29900,74500,39000,75500"
|
|---|
| 1502 | st "package_length : (15:0)"
|
|---|
| 1503 | ju 2
|
|---|
| 1504 | blo "39000,75300"
|
|---|
| 1505 | )
|
|---|
| 1506 | )
|
|---|
| 1507 | thePort (LogicalPort
|
|---|
| 1508 | decl (Decl
|
|---|
| 1509 | n "package_length"
|
|---|
| 1510 | t "std_logic_vector"
|
|---|
| 1511 | b "(15 downto 0)"
|
|---|
| 1512 | o 22
|
|---|
| 1513 | suid 20,0
|
|---|
| 1514 | )
|
|---|
| 1515 | )
|
|---|
| 1516 | )
|
|---|
| 1517 | *37 (CptPort
|
|---|
| 1518 | uid 2760,0
|
|---|
| 1519 | ps "OnEdgeStrategy"
|
|---|
| 1520 | shape (Triangle
|
|---|
| 1521 | uid 2761,0
|
|---|
| 1522 | ro 270
|
|---|
| 1523 | va (VaSet
|
|---|
| 1524 | vasetType 1
|
|---|
| 1525 | fg "0,65535,0"
|
|---|
| 1526 | )
|
|---|
| 1527 | xt "18250,81625,19000,82375"
|
|---|
| 1528 | )
|
|---|
| 1529 | tg (CPTG
|
|---|
| 1530 | uid 2762,0
|
|---|
| 1531 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1532 | stg "VerticalLayoutStrategy"
|
|---|
| 1533 | f (Text
|
|---|
| 1534 | uid 2763,0
|
|---|
| 1535 | va (VaSet
|
|---|
| 1536 | )
|
|---|
| 1537 | xt "20000,81500,23200,82500"
|
|---|
| 1538 | st "adc_oeb"
|
|---|
| 1539 | blo "20000,82300"
|
|---|
| 1540 | )
|
|---|
| 1541 | )
|
|---|
| 1542 | thePort (LogicalPort
|
|---|
| 1543 | m 1
|
|---|
| 1544 | decl (Decl
|
|---|
| 1545 | n "adc_oeb"
|
|---|
| 1546 | t "std_logic"
|
|---|
| 1547 | o 31
|
|---|
| 1548 | suid 23,0
|
|---|
| 1549 | i "'1'"
|
|---|
| 1550 | )
|
|---|
| 1551 | )
|
|---|
| 1552 | )
|
|---|
| 1553 | *38 (CptPort
|
|---|
| 1554 | uid 2764,0
|
|---|
| 1555 | ps "OnEdgeStrategy"
|
|---|
| 1556 | shape (Triangle
|
|---|
| 1557 | uid 2765,0
|
|---|
| 1558 | ro 90
|
|---|
| 1559 | va (VaSet
|
|---|
| 1560 | vasetType 1
|
|---|
| 1561 | fg "0,65535,0"
|
|---|
| 1562 | )
|
|---|
| 1563 | xt "18250,76625,19000,77375"
|
|---|
| 1564 | )
|
|---|
| 1565 | tg (CPTG
|
|---|
| 1566 | uid 2766,0
|
|---|
| 1567 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1568 | stg "VerticalLayoutStrategy"
|
|---|
| 1569 | f (Text
|
|---|
| 1570 | uid 2767,0
|
|---|
| 1571 | va (VaSet
|
|---|
| 1572 | )
|
|---|
| 1573 | xt "20000,76500,25500,77500"
|
|---|
| 1574 | st "adc_otr : (3:0)"
|
|---|
| 1575 | blo "20000,77300"
|
|---|
| 1576 | )
|
|---|
| 1577 | )
|
|---|
| 1578 | thePort (LogicalPort
|
|---|
| 1579 | decl (Decl
|
|---|
| 1580 | n "adc_otr"
|
|---|
| 1581 | t "std_logic_vector"
|
|---|
| 1582 | b "(3 downto 0)"
|
|---|
| 1583 | o 32
|
|---|
| 1584 | suid 24,0
|
|---|
| 1585 | )
|
|---|
| 1586 | )
|
|---|
| 1587 | )
|
|---|
| 1588 | *39 (CptPort
|
|---|
| 1589 | uid 3918,0
|
|---|
| 1590 | ps "OnEdgeStrategy"
|
|---|
| 1591 | shape (Triangle
|
|---|
| 1592 | uid 3919,0
|
|---|
| 1593 | ro 270
|
|---|
| 1594 | va (VaSet
|
|---|
| 1595 | vasetType 1
|
|---|
| 1596 | fg "0,65535,0"
|
|---|
| 1597 | )
|
|---|
| 1598 | xt "18250,56625,19000,57375"
|
|---|
| 1599 | )
|
|---|
| 1600 | tg (CPTG
|
|---|
| 1601 | uid 3920,0
|
|---|
| 1602 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1603 | stg "VerticalLayoutStrategy"
|
|---|
| 1604 | f (Text
|
|---|
| 1605 | uid 3921,0
|
|---|
| 1606 | va (VaSet
|
|---|
| 1607 | )
|
|---|
| 1608 | xt "20000,56500,28500,57500"
|
|---|
| 1609 | st "drs_channel_id : (3:0)"
|
|---|
| 1610 | blo "20000,57300"
|
|---|
| 1611 | )
|
|---|
| 1612 | )
|
|---|
| 1613 | thePort (LogicalPort
|
|---|
| 1614 | m 1
|
|---|
| 1615 | decl (Decl
|
|---|
| 1616 | n "drs_channel_id"
|
|---|
| 1617 | t "std_logic_vector"
|
|---|
| 1618 | b "(3 downto 0)"
|
|---|
| 1619 | o 33
|
|---|
| 1620 | suid 25,0
|
|---|
| 1621 | i "(others => '0')"
|
|---|
| 1622 | )
|
|---|
| 1623 | )
|
|---|
| 1624 | )
|
|---|
| 1625 | *40 (CptPort
|
|---|
| 1626 | uid 3922,0
|
|---|
| 1627 | ps "OnEdgeStrategy"
|
|---|
| 1628 | shape (Triangle
|
|---|
| 1629 | uid 3923,0
|
|---|
| 1630 | ro 270
|
|---|
| 1631 | va (VaSet
|
|---|
| 1632 | vasetType 1
|
|---|
| 1633 | fg "0,65535,0"
|
|---|
| 1634 | )
|
|---|
| 1635 | xt "18250,52625,19000,53375"
|
|---|
| 1636 | )
|
|---|
| 1637 | tg (CPTG
|
|---|
| 1638 | uid 3924,0
|
|---|
| 1639 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1640 | stg "VerticalLayoutStrategy"
|
|---|
| 1641 | f (Text
|
|---|
| 1642 | uid 3925,0
|
|---|
| 1643 | va (VaSet
|
|---|
| 1644 | )
|
|---|
| 1645 | xt "20000,52500,24400,53500"
|
|---|
| 1646 | st "drs_clk_en"
|
|---|
| 1647 | blo "20000,53300"
|
|---|
| 1648 | )
|
|---|
| 1649 | )
|
|---|
| 1650 | thePort (LogicalPort
|
|---|
| 1651 | m 1
|
|---|
| 1652 | decl (Decl
|
|---|
| 1653 | n "drs_clk_en"
|
|---|
| 1654 | t "std_logic"
|
|---|
| 1655 | o 35
|
|---|
| 1656 | suid 26,0
|
|---|
| 1657 | i "'0'"
|
|---|
| 1658 | )
|
|---|
| 1659 | )
|
|---|
| 1660 | )
|
|---|
| 1661 | *41 (CptPort
|
|---|
| 1662 | uid 3926,0
|
|---|
| 1663 | ps "OnEdgeStrategy"
|
|---|
| 1664 | shape (Triangle
|
|---|
| 1665 | uid 3927,0
|
|---|
| 1666 | ro 270
|
|---|
| 1667 | va (VaSet
|
|---|
| 1668 | vasetType 1
|
|---|
| 1669 | fg "0,65535,0"
|
|---|
| 1670 | )
|
|---|
| 1671 | xt "18250,58625,19000,59375"
|
|---|
| 1672 | )
|
|---|
| 1673 | tg (CPTG
|
|---|
| 1674 | uid 3928,0
|
|---|
| 1675 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1676 | stg "VerticalLayoutStrategy"
|
|---|
| 1677 | f (Text
|
|---|
| 1678 | uid 3929,0
|
|---|
| 1679 | va (VaSet
|
|---|
| 1680 | )
|
|---|
| 1681 | xt "20000,58500,24300,59500"
|
|---|
| 1682 | st "drs_dwrite"
|
|---|
| 1683 | blo "20000,59300"
|
|---|
| 1684 | )
|
|---|
| 1685 | )
|
|---|
| 1686 | thePort (LogicalPort
|
|---|
| 1687 | m 1
|
|---|
| 1688 | decl (Decl
|
|---|
| 1689 | n "drs_dwrite"
|
|---|
| 1690 | t "std_logic"
|
|---|
| 1691 | o 34
|
|---|
| 1692 | suid 36,0
|
|---|
| 1693 | i "'1'"
|
|---|
| 1694 | )
|
|---|
| 1695 | )
|
|---|
| 1696 | )
|
|---|
| 1697 | *42 (CptPort
|
|---|
| 1698 | uid 3930,0
|
|---|
| 1699 | ps "OnEdgeStrategy"
|
|---|
| 1700 | shape (Triangle
|
|---|
| 1701 | uid 3931,0
|
|---|
| 1702 | ro 270
|
|---|
| 1703 | va (VaSet
|
|---|
| 1704 | vasetType 1
|
|---|
| 1705 | fg "0,65535,0"
|
|---|
| 1706 | )
|
|---|
| 1707 | xt "18250,49625,19000,50375"
|
|---|
| 1708 | )
|
|---|
| 1709 | tg (CPTG
|
|---|
| 1710 | uid 3932,0
|
|---|
| 1711 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1712 | stg "VerticalLayoutStrategy"
|
|---|
| 1713 | f (Text
|
|---|
| 1714 | uid 3933,0
|
|---|
| 1715 | va (VaSet
|
|---|
| 1716 | )
|
|---|
| 1717 | xt "20000,49500,26200,50500"
|
|---|
| 1718 | st "drs_read_s_cell"
|
|---|
| 1719 | blo "20000,50300"
|
|---|
| 1720 | )
|
|---|
| 1721 | )
|
|---|
| 1722 | thePort (LogicalPort
|
|---|
| 1723 | m 1
|
|---|
| 1724 | decl (Decl
|
|---|
| 1725 | n "drs_read_s_cell"
|
|---|
| 1726 | t "std_logic"
|
|---|
| 1727 | o 36
|
|---|
| 1728 | suid 33,0
|
|---|
| 1729 | i "'0'"
|
|---|
| 1730 | )
|
|---|
| 1731 | )
|
|---|
| 1732 | )
|
|---|
| 1733 | *43 (CptPort
|
|---|
| 1734 | uid 3934,0
|
|---|
| 1735 | ps "OnEdgeStrategy"
|
|---|
| 1736 | shape (Triangle
|
|---|
| 1737 | uid 3935,0
|
|---|
| 1738 | ro 90
|
|---|
| 1739 | va (VaSet
|
|---|
| 1740 | vasetType 1
|
|---|
| 1741 | fg "0,65535,0"
|
|---|
| 1742 | )
|
|---|
| 1743 | xt "18250,50625,19000,51375"
|
|---|
| 1744 | )
|
|---|
| 1745 | tg (CPTG
|
|---|
| 1746 | uid 3936,0
|
|---|
| 1747 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1748 | stg "VerticalLayoutStrategy"
|
|---|
| 1749 | f (Text
|
|---|
| 1750 | uid 3937,0
|
|---|
| 1751 | va (VaSet
|
|---|
| 1752 | )
|
|---|
| 1753 | xt "20000,50500,28800,51500"
|
|---|
| 1754 | st "drs_read_s_cell_ready"
|
|---|
| 1755 | blo "20000,51300"
|
|---|
| 1756 | )
|
|---|
| 1757 | )
|
|---|
| 1758 | thePort (LogicalPort
|
|---|
| 1759 | decl (Decl
|
|---|
| 1760 | n "drs_read_s_cell_ready"
|
|---|
| 1761 | t "std_logic"
|
|---|
| 1762 | o 37
|
|---|
| 1763 | suid 34,0
|
|---|
| 1764 | )
|
|---|
| 1765 | )
|
|---|
| 1766 | )
|
|---|
| 1767 | *44 (CptPort
|
|---|
| 1768 | uid 3938,0
|
|---|
| 1769 | ps "OnEdgeStrategy"
|
|---|
| 1770 | shape (Triangle
|
|---|
| 1771 | uid 3939,0
|
|---|
| 1772 | ro 90
|
|---|
| 1773 | va (VaSet
|
|---|
| 1774 | vasetType 1
|
|---|
| 1775 | fg "0,65535,0"
|
|---|
| 1776 | )
|
|---|
| 1777 | xt "18250,51625,19000,52375"
|
|---|
| 1778 | )
|
|---|
| 1779 | tg (CPTG
|
|---|
| 1780 | uid 3940,0
|
|---|
| 1781 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1782 | stg "VerticalLayoutStrategy"
|
|---|
| 1783 | f (Text
|
|---|
| 1784 | uid 3941,0
|
|---|
| 1785 | va (VaSet
|
|---|
| 1786 | )
|
|---|
| 1787 | xt "20000,51500,26400,52500"
|
|---|
| 1788 | st "drs_s_cell_array"
|
|---|
| 1789 | blo "20000,52300"
|
|---|
| 1790 | )
|
|---|
| 1791 | )
|
|---|
| 1792 | thePort (LogicalPort
|
|---|
| 1793 | decl (Decl
|
|---|
| 1794 | n "drs_s_cell_array"
|
|---|
| 1795 | t "drs_s_cell_array_type"
|
|---|
| 1796 | o 38
|
|---|
| 1797 | suid 35,0
|
|---|
| 1798 | )
|
|---|
| 1799 | )
|
|---|
| 1800 | )
|
|---|
| 1801 | *45 (CptPort
|
|---|
| 1802 | uid 4246,0
|
|---|
| 1803 | ps "OnEdgeStrategy"
|
|---|
| 1804 | shape (Triangle
|
|---|
| 1805 | uid 4247,0
|
|---|
| 1806 | ro 90
|
|---|
| 1807 | va (VaSet
|
|---|
| 1808 | vasetType 1
|
|---|
| 1809 | fg "0,65535,0"
|
|---|
| 1810 | )
|
|---|
| 1811 | xt "18250,75625,19000,76375"
|
|---|
| 1812 | )
|
|---|
| 1813 | tg (CPTG
|
|---|
| 1814 | uid 4248,0
|
|---|
| 1815 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1816 | stg "VerticalLayoutStrategy"
|
|---|
| 1817 | f (Text
|
|---|
| 1818 | uid 4249,0
|
|---|
| 1819 | va (VaSet
|
|---|
| 1820 | )
|
|---|
| 1821 | xt "20000,75500,25900,76500"
|
|---|
| 1822 | st "adc_data_array"
|
|---|
| 1823 | blo "20000,76300"
|
|---|
| 1824 | )
|
|---|
| 1825 | )
|
|---|
| 1826 | thePort (LogicalPort
|
|---|
| 1827 | decl (Decl
|
|---|
| 1828 | n "adc_data_array"
|
|---|
| 1829 | t "adc_data_array_type"
|
|---|
| 1830 | o 30
|
|---|
| 1831 | suid 37,0
|
|---|
| 1832 | )
|
|---|
| 1833 | )
|
|---|
| 1834 | )
|
|---|
| 1835 | *46 (CptPort
|
|---|
| 1836 | uid 5174,0
|
|---|
| 1837 | ps "OnEdgeStrategy"
|
|---|
| 1838 | shape (Triangle
|
|---|
| 1839 | uid 5175,0
|
|---|
| 1840 | ro 270
|
|---|
| 1841 | va (VaSet
|
|---|
| 1842 | vasetType 1
|
|---|
| 1843 | fg "0,65535,0"
|
|---|
| 1844 | )
|
|---|
| 1845 | xt "40000,82625,40750,83375"
|
|---|
| 1846 | )
|
|---|
| 1847 | tg (CPTG
|
|---|
| 1848 | uid 5176,0
|
|---|
| 1849 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1850 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1851 | f (Text
|
|---|
| 1852 | uid 5177,0
|
|---|
| 1853 | va (VaSet
|
|---|
| 1854 | )
|
|---|
| 1855 | xt "32500,82500,39000,83500"
|
|---|
| 1856 | st "config_ready_cm"
|
|---|
| 1857 | ju 2
|
|---|
| 1858 | blo "39000,83300"
|
|---|
| 1859 | )
|
|---|
| 1860 | )
|
|---|
| 1861 | thePort (LogicalPort
|
|---|
| 1862 | decl (Decl
|
|---|
| 1863 | n "config_ready_cm"
|
|---|
| 1864 | t "std_logic"
|
|---|
| 1865 | o 12
|
|---|
| 1866 | suid 39,0
|
|---|
| 1867 | )
|
|---|
| 1868 | )
|
|---|
| 1869 | )
|
|---|
| 1870 | *47 (CptPort
|
|---|
| 1871 | uid 5178,0
|
|---|
| 1872 | ps "OnEdgeStrategy"
|
|---|
| 1873 | shape (Triangle
|
|---|
| 1874 | uid 5179,0
|
|---|
| 1875 | ro 90
|
|---|
| 1876 | va (VaSet
|
|---|
| 1877 | vasetType 1
|
|---|
| 1878 | fg "0,65535,0"
|
|---|
| 1879 | )
|
|---|
| 1880 | xt "40000,80625,40750,81375"
|
|---|
| 1881 | )
|
|---|
| 1882 | tg (CPTG
|
|---|
| 1883 | uid 5180,0
|
|---|
| 1884 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1885 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1886 | f (Text
|
|---|
| 1887 | uid 5181,0
|
|---|
| 1888 | va (VaSet
|
|---|
| 1889 | )
|
|---|
| 1890 | xt "32800,80500,39000,81500"
|
|---|
| 1891 | st "config_start_cm"
|
|---|
| 1892 | ju 2
|
|---|
| 1893 | blo "39000,81300"
|
|---|
| 1894 | )
|
|---|
| 1895 | )
|
|---|
| 1896 | thePort (LogicalPort
|
|---|
| 1897 | m 1
|
|---|
| 1898 | decl (Decl
|
|---|
| 1899 | n "config_start_cm"
|
|---|
| 1900 | t "std_logic"
|
|---|
| 1901 | o 9
|
|---|
| 1902 | suid 40,0
|
|---|
| 1903 | i "'0'"
|
|---|
| 1904 | )
|
|---|
| 1905 | )
|
|---|
| 1906 | )
|
|---|
| 1907 | *48 (CptPort
|
|---|
| 1908 | uid 5271,0
|
|---|
| 1909 | ps "OnEdgeStrategy"
|
|---|
| 1910 | shape (Triangle
|
|---|
| 1911 | uid 5272,0
|
|---|
| 1912 | ro 270
|
|---|
| 1913 | va (VaSet
|
|---|
| 1914 | vasetType 1
|
|---|
| 1915 | fg "0,65535,0"
|
|---|
| 1916 | )
|
|---|
| 1917 | xt "40000,52625,40750,53375"
|
|---|
| 1918 | )
|
|---|
| 1919 | tg (CPTG
|
|---|
| 1920 | uid 5273,0
|
|---|
| 1921 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1922 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1923 | f (Text
|
|---|
| 1924 | uid 5274,0
|
|---|
| 1925 | va (VaSet
|
|---|
| 1926 | )
|
|---|
| 1927 | xt "35400,52500,39000,53500"
|
|---|
| 1928 | st "s_trigger"
|
|---|
| 1929 | ju 2
|
|---|
| 1930 | blo "39000,53300"
|
|---|
| 1931 | )
|
|---|
| 1932 | )
|
|---|
| 1933 | thePort (LogicalPort
|
|---|
| 1934 | decl (Decl
|
|---|
| 1935 | n "s_trigger"
|
|---|
| 1936 | t "std_logic"
|
|---|
| 1937 | o 27
|
|---|
| 1938 | suid 41,0
|
|---|
| 1939 | )
|
|---|
| 1940 | )
|
|---|
| 1941 | )
|
|---|
| 1942 | *49 (CptPort
|
|---|
| 1943 | uid 5392,0
|
|---|
| 1944 | ps "OnEdgeStrategy"
|
|---|
| 1945 | shape (Triangle
|
|---|
| 1946 | uid 5393,0
|
|---|
| 1947 | ro 270
|
|---|
| 1948 | va (VaSet
|
|---|
| 1949 | vasetType 1
|
|---|
| 1950 | fg "0,65535,0"
|
|---|
| 1951 | )
|
|---|
| 1952 | xt "40000,71625,40750,72375"
|
|---|
| 1953 | )
|
|---|
| 1954 | tg (CPTG
|
|---|
| 1955 | uid 5394,0
|
|---|
| 1956 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1957 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1958 | f (Text
|
|---|
| 1959 | uid 5395,0
|
|---|
| 1960 | va (VaSet
|
|---|
| 1961 | )
|
|---|
| 1962 | xt "32300,71500,39000,72500"
|
|---|
| 1963 | st "config_ready_mm"
|
|---|
| 1964 | ju 2
|
|---|
| 1965 | blo "39000,72300"
|
|---|
| 1966 | )
|
|---|
| 1967 | )
|
|---|
| 1968 | thePort (LogicalPort
|
|---|
| 1969 | decl (Decl
|
|---|
| 1970 | n "config_ready_mm"
|
|---|
| 1971 | t "std_logic"
|
|---|
| 1972 | o 11
|
|---|
| 1973 | suid 42,0
|
|---|
| 1974 | )
|
|---|
| 1975 | )
|
|---|
| 1976 | )
|
|---|
| 1977 | *50 (CptPort
|
|---|
| 1978 | uid 5396,0
|
|---|
| 1979 | ps "OnEdgeStrategy"
|
|---|
| 1980 | shape (Triangle
|
|---|
| 1981 | uid 5397,0
|
|---|
| 1982 | ro 270
|
|---|
| 1983 | va (VaSet
|
|---|
| 1984 | vasetType 1
|
|---|
| 1985 | fg "0,65535,0"
|
|---|
| 1986 | )
|
|---|
| 1987 | xt "40000,84625,40750,85375"
|
|---|
| 1988 | )
|
|---|
| 1989 | tg (CPTG
|
|---|
| 1990 | uid 5398,0
|
|---|
| 1991 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1992 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1993 | f (Text
|
|---|
| 1994 | uid 5399,0
|
|---|
| 1995 | va (VaSet
|
|---|
| 1996 | )
|
|---|
| 1997 | xt "32500,84500,39000,85500"
|
|---|
| 1998 | st "config_ready_spi"
|
|---|
| 1999 | ju 2
|
|---|
| 2000 | blo "39000,85300"
|
|---|
| 2001 | )
|
|---|
| 2002 | )
|
|---|
| 2003 | thePort (LogicalPort
|
|---|
| 2004 | decl (Decl
|
|---|
| 2005 | n "config_ready_spi"
|
|---|
| 2006 | t "std_logic"
|
|---|
| 2007 | o 13
|
|---|
| 2008 | suid 43,0
|
|---|
| 2009 | )
|
|---|
| 2010 | )
|
|---|
| 2011 | )
|
|---|
| 2012 | *51 (CptPort
|
|---|
| 2013 | uid 5464,0
|
|---|
| 2014 | ps "OnEdgeStrategy"
|
|---|
| 2015 | shape (Triangle
|
|---|
| 2016 | uid 5465,0
|
|---|
| 2017 | ro 270
|
|---|
| 2018 | va (VaSet
|
|---|
| 2019 | vasetType 1
|
|---|
| 2020 | fg "0,65535,0"
|
|---|
| 2021 | )
|
|---|
| 2022 | xt "40000,88625,40750,89375"
|
|---|
| 2023 | )
|
|---|
| 2024 | tg (CPTG
|
|---|
| 2025 | uid 5466,0
|
|---|
| 2026 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2027 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2028 | f (Text
|
|---|
| 2029 | uid 5467,0
|
|---|
| 2030 | va (VaSet
|
|---|
| 2031 | )
|
|---|
| 2032 | xt "33800,88500,39000,89500"
|
|---|
| 2033 | st "sensor_array"
|
|---|
| 2034 | ju 2
|
|---|
| 2035 | blo "39000,89300"
|
|---|
| 2036 | )
|
|---|
| 2037 | )
|
|---|
| 2038 | thePort (LogicalPort
|
|---|
| 2039 | decl (Decl
|
|---|
| 2040 | n "sensor_array"
|
|---|
| 2041 | t "sensor_array_type"
|
|---|
| 2042 | o 19
|
|---|
| 2043 | suid 44,0
|
|---|
| 2044 | )
|
|---|
| 2045 | )
|
|---|
| 2046 | )
|
|---|
| 2047 | *52 (CptPort
|
|---|
| 2048 | uid 5468,0
|
|---|
| 2049 | ps "OnEdgeStrategy"
|
|---|
| 2050 | shape (Triangle
|
|---|
| 2051 | uid 5469,0
|
|---|
| 2052 | ro 270
|
|---|
| 2053 | va (VaSet
|
|---|
| 2054 | vasetType 1
|
|---|
| 2055 | fg "0,65535,0"
|
|---|
| 2056 | )
|
|---|
| 2057 | xt "40000,89625,40750,90375"
|
|---|
| 2058 | )
|
|---|
| 2059 | tg (CPTG
|
|---|
| 2060 | uid 5470,0
|
|---|
| 2061 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2062 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2063 | f (Text
|
|---|
| 2064 | uid 5471,0
|
|---|
| 2065 | va (VaSet
|
|---|
| 2066 | )
|
|---|
| 2067 | xt "33700,89500,39000,90500"
|
|---|
| 2068 | st "sensor_ready"
|
|---|
| 2069 | ju 2
|
|---|
| 2070 | blo "39000,90300"
|
|---|
| 2071 | )
|
|---|
| 2072 | )
|
|---|
| 2073 | thePort (LogicalPort
|
|---|
| 2074 | decl (Decl
|
|---|
| 2075 | n "sensor_ready"
|
|---|
| 2076 | t "std_logic"
|
|---|
| 2077 | o 20
|
|---|
| 2078 | suid 45,0
|
|---|
| 2079 | )
|
|---|
| 2080 | )
|
|---|
| 2081 | )
|
|---|
| 2082 | *53 (CptPort
|
|---|
| 2083 | uid 5735,0
|
|---|
| 2084 | ps "OnEdgeStrategy"
|
|---|
| 2085 | shape (Triangle
|
|---|
| 2086 | uid 5736,0
|
|---|
| 2087 | ro 90
|
|---|
| 2088 | va (VaSet
|
|---|
| 2089 | vasetType 1
|
|---|
| 2090 | fg "0,65535,0"
|
|---|
| 2091 | )
|
|---|
| 2092 | xt "40000,69625,40750,70375"
|
|---|
| 2093 | )
|
|---|
| 2094 | tg (CPTG
|
|---|
| 2095 | uid 5737,0
|
|---|
| 2096 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2097 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2098 | f (Text
|
|---|
| 2099 | uid 5738,0
|
|---|
| 2100 | va (VaSet
|
|---|
| 2101 | )
|
|---|
| 2102 | xt "32600,69500,39000,70500"
|
|---|
| 2103 | st "config_start_mm"
|
|---|
| 2104 | ju 2
|
|---|
| 2105 | blo "39000,70300"
|
|---|
| 2106 | )
|
|---|
| 2107 | )
|
|---|
| 2108 | thePort (LogicalPort
|
|---|
| 2109 | m 1
|
|---|
| 2110 | decl (Decl
|
|---|
| 2111 | n "config_start_mm"
|
|---|
| 2112 | t "std_logic"
|
|---|
| 2113 | o 8
|
|---|
| 2114 | suid 46,0
|
|---|
| 2115 | i "'0'"
|
|---|
| 2116 | )
|
|---|
| 2117 | )
|
|---|
| 2118 | )
|
|---|
| 2119 | *54 (CptPort
|
|---|
| 2120 | uid 5739,0
|
|---|
| 2121 | ps "OnEdgeStrategy"
|
|---|
| 2122 | shape (Triangle
|
|---|
| 2123 | uid 5740,0
|
|---|
| 2124 | ro 90
|
|---|
| 2125 | va (VaSet
|
|---|
| 2126 | vasetType 1
|
|---|
| 2127 | fg "0,65535,0"
|
|---|
| 2128 | )
|
|---|
| 2129 | xt "40000,86625,40750,87375"
|
|---|
| 2130 | )
|
|---|
| 2131 | tg (CPTG
|
|---|
| 2132 | uid 5741,0
|
|---|
| 2133 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2134 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2135 | f (Text
|
|---|
| 2136 | uid 5742,0
|
|---|
| 2137 | va (VaSet
|
|---|
| 2138 | )
|
|---|
| 2139 | xt "32800,86500,39000,87500"
|
|---|
| 2140 | st "config_start_spi"
|
|---|
| 2141 | ju 2
|
|---|
| 2142 | blo "39000,87300"
|
|---|
| 2143 | )
|
|---|
| 2144 | )
|
|---|
| 2145 | thePort (LogicalPort
|
|---|
| 2146 | m 1
|
|---|
| 2147 | decl (Decl
|
|---|
| 2148 | n "config_start_spi"
|
|---|
| 2149 | t "std_logic"
|
|---|
| 2150 | o 10
|
|---|
| 2151 | suid 47,0
|
|---|
| 2152 | i "'0'"
|
|---|
| 2153 | )
|
|---|
| 2154 | )
|
|---|
| 2155 | )
|
|---|
| 2156 | *55 (CptPort
|
|---|
| 2157 | uid 5916,0
|
|---|
| 2158 | ps "OnEdgeStrategy"
|
|---|
| 2159 | shape (Triangle
|
|---|
| 2160 | uid 5917,0
|
|---|
| 2161 | ro 90
|
|---|
| 2162 | va (VaSet
|
|---|
| 2163 | vasetType 1
|
|---|
| 2164 | fg "0,65535,0"
|
|---|
| 2165 | )
|
|---|
| 2166 | xt "40000,54625,40750,55375"
|
|---|
| 2167 | )
|
|---|
| 2168 | tg (CPTG
|
|---|
| 2169 | uid 5918,0
|
|---|
| 2170 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2171 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2172 | f (Text
|
|---|
| 2173 | uid 5919,0
|
|---|
| 2174 | va (VaSet
|
|---|
| 2175 | )
|
|---|
| 2176 | xt "33400,54500,39000,55500"
|
|---|
| 2177 | st "config_started"
|
|---|
| 2178 | ju 2
|
|---|
| 2179 | blo "39000,55300"
|
|---|
| 2180 | )
|
|---|
| 2181 | )
|
|---|
| 2182 | thePort (LogicalPort
|
|---|
| 2183 | m 1
|
|---|
| 2184 | decl (Decl
|
|---|
| 2185 | n "config_started"
|
|---|
| 2186 | t "std_logic"
|
|---|
| 2187 | o 29
|
|---|
| 2188 | suid 48,0
|
|---|
| 2189 | i "'0'"
|
|---|
| 2190 | )
|
|---|
| 2191 | )
|
|---|
| 2192 | )
|
|---|
| 2193 | *56 (CptPort
|
|---|
| 2194 | uid 5920,0
|
|---|
| 2195 | ps "OnEdgeStrategy"
|
|---|
| 2196 | shape (Triangle
|
|---|
| 2197 | uid 5921,0
|
|---|
| 2198 | ro 270
|
|---|
| 2199 | va (VaSet
|
|---|
| 2200 | vasetType 1
|
|---|
| 2201 | fg "0,65535,0"
|
|---|
| 2202 | )
|
|---|
| 2203 | xt "40000,53625,40750,54375"
|
|---|
| 2204 | )
|
|---|
| 2205 | tg (CPTG
|
|---|
| 2206 | uid 5922,0
|
|---|
| 2207 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2208 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2209 | f (Text
|
|---|
| 2210 | uid 5923,0
|
|---|
| 2211 | va (VaSet
|
|---|
| 2212 | )
|
|---|
| 2213 | xt "34400,53500,39000,54500"
|
|---|
| 2214 | st "new_config"
|
|---|
| 2215 | ju 2
|
|---|
| 2216 | blo "39000,54300"
|
|---|
| 2217 | )
|
|---|
| 2218 | )
|
|---|
| 2219 | thePort (LogicalPort
|
|---|
| 2220 | decl (Decl
|
|---|
| 2221 | n "new_config"
|
|---|
| 2222 | t "std_logic"
|
|---|
| 2223 | o 28
|
|---|
| 2224 | suid 49,0
|
|---|
| 2225 | )
|
|---|
| 2226 | )
|
|---|
| 2227 | )
|
|---|
| 2228 | *57 (CptPort
|
|---|
| 2229 | uid 5974,0
|
|---|
| 2230 | ps "OnEdgeStrategy"
|
|---|
| 2231 | shape (Triangle
|
|---|
| 2232 | uid 5975,0
|
|---|
| 2233 | ro 270
|
|---|
| 2234 | va (VaSet
|
|---|
| 2235 | vasetType 1
|
|---|
| 2236 | fg "0,65535,0"
|
|---|
| 2237 | )
|
|---|
| 2238 | xt "40000,81625,40750,82375"
|
|---|
| 2239 | )
|
|---|
| 2240 | tg (CPTG
|
|---|
| 2241 | uid 5976,0
|
|---|
| 2242 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2243 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2244 | f (Text
|
|---|
| 2245 | uid 5977,0
|
|---|
| 2246 | va (VaSet
|
|---|
| 2247 | )
|
|---|
| 2248 | xt "32000,81500,39000,82500"
|
|---|
| 2249 | st "config_started_cm"
|
|---|
| 2250 | ju 2
|
|---|
| 2251 | blo "39000,82300"
|
|---|
| 2252 | )
|
|---|
| 2253 | )
|
|---|
| 2254 | thePort (LogicalPort
|
|---|
| 2255 | decl (Decl
|
|---|
| 2256 | n "config_started_cm"
|
|---|
| 2257 | t "std_logic"
|
|---|
| 2258 | o 15
|
|---|
| 2259 | suid 50,0
|
|---|
| 2260 | )
|
|---|
| 2261 | )
|
|---|
| 2262 | )
|
|---|
| 2263 | *58 (CptPort
|
|---|
| 2264 | uid 5978,0
|
|---|
| 2265 | ps "OnEdgeStrategy"
|
|---|
| 2266 | shape (Triangle
|
|---|
| 2267 | uid 5979,0
|
|---|
| 2268 | ro 270
|
|---|
| 2269 | va (VaSet
|
|---|
| 2270 | vasetType 1
|
|---|
| 2271 | fg "0,65535,0"
|
|---|
| 2272 | )
|
|---|
| 2273 | xt "40000,70625,40750,71375"
|
|---|
| 2274 | )
|
|---|
| 2275 | tg (CPTG
|
|---|
| 2276 | uid 5980,0
|
|---|
| 2277 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2278 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2279 | f (Text
|
|---|
| 2280 | uid 5981,0
|
|---|
| 2281 | va (VaSet
|
|---|
| 2282 | )
|
|---|
| 2283 | xt "31800,70500,39000,71500"
|
|---|
| 2284 | st "config_started_mm"
|
|---|
| 2285 | ju 2
|
|---|
| 2286 | blo "39000,71300"
|
|---|
| 2287 | )
|
|---|
| 2288 | )
|
|---|
| 2289 | thePort (LogicalPort
|
|---|
| 2290 | decl (Decl
|
|---|
| 2291 | n "config_started_mm"
|
|---|
| 2292 | t "std_logic"
|
|---|
| 2293 | o 14
|
|---|
| 2294 | suid 51,0
|
|---|
| 2295 | )
|
|---|
| 2296 | )
|
|---|
| 2297 | )
|
|---|
| 2298 | *59 (CptPort
|
|---|
| 2299 | uid 5982,0
|
|---|
| 2300 | ps "OnEdgeStrategy"
|
|---|
| 2301 | shape (Triangle
|
|---|
| 2302 | uid 5983,0
|
|---|
| 2303 | ro 270
|
|---|
| 2304 | va (VaSet
|
|---|
| 2305 | vasetType 1
|
|---|
| 2306 | fg "0,65535,0"
|
|---|
| 2307 | )
|
|---|
| 2308 | xt "40000,85625,40750,86375"
|
|---|
| 2309 | )
|
|---|
| 2310 | tg (CPTG
|
|---|
| 2311 | uid 5984,0
|
|---|
| 2312 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2313 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2314 | f (Text
|
|---|
| 2315 | uid 5985,0
|
|---|
| 2316 | va (VaSet
|
|---|
| 2317 | )
|
|---|
| 2318 | xt "32000,85500,39000,86500"
|
|---|
| 2319 | st "config_started_spi"
|
|---|
| 2320 | ju 2
|
|---|
| 2321 | blo "39000,86300"
|
|---|
| 2322 | )
|
|---|
| 2323 | )
|
|---|
| 2324 | thePort (LogicalPort
|
|---|
| 2325 | decl (Decl
|
|---|
| 2326 | n "config_started_spi"
|
|---|
| 2327 | t "std_logic"
|
|---|
| 2328 | o 16
|
|---|
| 2329 | suid 52,0
|
|---|
| 2330 | )
|
|---|
| 2331 | )
|
|---|
| 2332 | )
|
|---|
| 2333 | *60 (CptPort
|
|---|
| 2334 | uid 6060,0
|
|---|
| 2335 | ps "OnEdgeStrategy"
|
|---|
| 2336 | shape (Triangle
|
|---|
| 2337 | uid 6061,0
|
|---|
| 2338 | ro 270
|
|---|
| 2339 | va (VaSet
|
|---|
| 2340 | vasetType 1
|
|---|
| 2341 | fg "0,65535,0"
|
|---|
| 2342 | )
|
|---|
| 2343 | xt "40000,78625,40750,79375"
|
|---|
| 2344 | )
|
|---|
| 2345 | tg (CPTG
|
|---|
| 2346 | uid 6062,0
|
|---|
| 2347 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2348 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2349 | f (Text
|
|---|
| 2350 | uid 6063,0
|
|---|
| 2351 | va (VaSet
|
|---|
| 2352 | )
|
|---|
| 2353 | xt "35300,78500,39000,79500"
|
|---|
| 2354 | st "dac_array"
|
|---|
| 2355 | ju 2
|
|---|
| 2356 | blo "39000,79300"
|
|---|
| 2357 | )
|
|---|
| 2358 | )
|
|---|
| 2359 | thePort (LogicalPort
|
|---|
| 2360 | decl (Decl
|
|---|
| 2361 | n "dac_array"
|
|---|
| 2362 | t "dac_array_type"
|
|---|
| 2363 | o 21
|
|---|
| 2364 | suid 53,0
|
|---|
| 2365 | )
|
|---|
| 2366 | )
|
|---|
| 2367 | )
|
|---|
| 2368 | ]
|
|---|
| 2369 | shape (Rectangle
|
|---|
| 2370 | uid 1400,0
|
|---|
| 2371 | va (VaSet
|
|---|
| 2372 | vasetType 1
|
|---|
| 2373 | fg "0,65535,0"
|
|---|
| 2374 | lineColor "0,32896,0"
|
|---|
| 2375 | lineWidth 2
|
|---|
| 2376 | )
|
|---|
| 2377 | xt "19000,47000,40000,91000"
|
|---|
| 2378 | )
|
|---|
| 2379 | oxt "37000,1000,51000,21000"
|
|---|
| 2380 | ttg (MlTextGroup
|
|---|
| 2381 | uid 1401,0
|
|---|
| 2382 | ps "CenterOffsetStrategy"
|
|---|
| 2383 | stg "VerticalLayoutStrategy"
|
|---|
| 2384 | textVec [
|
|---|
| 2385 | *61 (Text
|
|---|
| 2386 | uid 1402,0
|
|---|
| 2387 | va (VaSet
|
|---|
| 2388 | font "Arial,8,1"
|
|---|
| 2389 | )
|
|---|
| 2390 | xt "19300,91000,25500,92000"
|
|---|
| 2391 | st "FACT_FAD_lib"
|
|---|
| 2392 | blo "19300,91800"
|
|---|
| 2393 | tm "BdLibraryNameMgr"
|
|---|
| 2394 | )
|
|---|
| 2395 | *62 (Text
|
|---|
| 2396 | uid 1403,0
|
|---|
| 2397 | va (VaSet
|
|---|
| 2398 | font "Arial,8,1"
|
|---|
| 2399 | )
|
|---|
| 2400 | xt "19300,92000,25700,93000"
|
|---|
| 2401 | st "data_generator"
|
|---|
| 2402 | blo "19300,92800"
|
|---|
| 2403 | tm "CptNameMgr"
|
|---|
| 2404 | )
|
|---|
| 2405 | *63 (Text
|
|---|
| 2406 | uid 1404,0
|
|---|
| 2407 | va (VaSet
|
|---|
| 2408 | font "Arial,8,1"
|
|---|
| 2409 | )
|
|---|
| 2410 | xt "19300,93000,28900,94000"
|
|---|
| 2411 | st "I_main_data_generator"
|
|---|
| 2412 | blo "19300,93800"
|
|---|
| 2413 | tm "InstanceNameMgr"
|
|---|
| 2414 | )
|
|---|
| 2415 | ]
|
|---|
| 2416 | )
|
|---|
| 2417 | ga (GenericAssociation
|
|---|
| 2418 | uid 1405,0
|
|---|
| 2419 | ps "EdgeToEdgeStrategy"
|
|---|
| 2420 | matrix (Matrix
|
|---|
| 2421 | uid 1406,0
|
|---|
| 2422 | text (MLText
|
|---|
| 2423 | uid 1407,0
|
|---|
| 2424 | va (VaSet
|
|---|
| 2425 | font "Courier New,8,0"
|
|---|
| 2426 | )
|
|---|
| 2427 | xt "19000,46200,45500,47000"
|
|---|
| 2428 | st "RAM_ADDR_WIDTH = RAMADDRWIDTH64b ( integer ) "
|
|---|
| 2429 | )
|
|---|
| 2430 | header ""
|
|---|
| 2431 | )
|
|---|
| 2432 | elements [
|
|---|
| 2433 | (GiElement
|
|---|
| 2434 | name "RAM_ADDR_WIDTH"
|
|---|
| 2435 | type "integer"
|
|---|
| 2436 | value "RAMADDRWIDTH64b"
|
|---|
| 2437 | )
|
|---|
| 2438 | ]
|
|---|
| 2439 | )
|
|---|
| 2440 | viewicon (ZoomableIcon
|
|---|
| 2441 | uid 1408,0
|
|---|
| 2442 | sl 0
|
|---|
| 2443 | va (VaSet
|
|---|
| 2444 | vasetType 1
|
|---|
| 2445 | fg "49152,49152,49152"
|
|---|
| 2446 | )
|
|---|
| 2447 | xt "19250,89250,20750,90750"
|
|---|
| 2448 | iconName "VhdlFileViewIcon.png"
|
|---|
| 2449 | iconMaskName "VhdlFileViewIcon.msk"
|
|---|
| 2450 | ftype 10
|
|---|
| 2451 | )
|
|---|
| 2452 | ordering 1
|
|---|
| 2453 | viewiconposition 0
|
|---|
| 2454 | portVis (PortSigDisplay
|
|---|
| 2455 | )
|
|---|
| 2456 | archFileType "UNKNOWN"
|
|---|
| 2457 | )
|
|---|
| 2458 | *64 (Net
|
|---|
| 2459 | uid 1409,0
|
|---|
| 2460 | decl (Decl
|
|---|
| 2461 | n "board_id"
|
|---|
| 2462 | t "std_logic_vector"
|
|---|
| 2463 | b "(3 downto 0)"
|
|---|
| 2464 | preAdd 0
|
|---|
| 2465 | posAdd 0
|
|---|
| 2466 | o 8
|
|---|
| 2467 | suid 28,0
|
|---|
| 2468 | )
|
|---|
| 2469 | declText (MLText
|
|---|
| 2470 | uid 1410,0
|
|---|
| 2471 | va (VaSet
|
|---|
| 2472 | font "Courier New,8,0"
|
|---|
| 2473 | )
|
|---|
| 2474 | xt "-85000,23800,-56500,24600"
|
|---|
| 2475 | st "board_id : std_logic_vector(3 downto 0)"
|
|---|
| 2476 | )
|
|---|
| 2477 | )
|
|---|
| 2478 | *65 (Net
|
|---|
| 2479 | uid 1423,0
|
|---|
| 2480 | decl (Decl
|
|---|
| 2481 | n "trigger"
|
|---|
| 2482 | t "std_logic"
|
|---|
| 2483 | preAdd 0
|
|---|
| 2484 | posAdd 0
|
|---|
| 2485 | o 10
|
|---|
| 2486 | suid 29,0
|
|---|
| 2487 | )
|
|---|
| 2488 | declText (MLText
|
|---|
| 2489 | uid 1424,0
|
|---|
| 2490 | va (VaSet
|
|---|
| 2491 | font "Courier New,8,0"
|
|---|
| 2492 | )
|
|---|
| 2493 | xt "-85000,25400,-66500,26200"
|
|---|
| 2494 | st "trigger : std_logic"
|
|---|
| 2495 | )
|
|---|
| 2496 | )
|
|---|
| 2497 | *66 (PortIoIn
|
|---|
| 2498 | uid 1443,0
|
|---|
| 2499 | shape (CompositeShape
|
|---|
| 2500 | uid 1444,0
|
|---|
| 2501 | va (VaSet
|
|---|
| 2502 | vasetType 1
|
|---|
| 2503 | fg "0,0,32768"
|
|---|
| 2504 | )
|
|---|
| 2505 | optionalChildren [
|
|---|
| 2506 | (Pentagon
|
|---|
| 2507 | uid 1445,0
|
|---|
| 2508 | sl 0
|
|---|
| 2509 | ro 270
|
|---|
| 2510 | xt "-28000,68625,-26500,69375"
|
|---|
| 2511 | )
|
|---|
| 2512 | (Line
|
|---|
| 2513 | uid 1446,0
|
|---|
| 2514 | sl 0
|
|---|
| 2515 | ro 270
|
|---|
| 2516 | xt "-26500,69000,-26000,69000"
|
|---|
| 2517 | pts [
|
|---|
| 2518 | "-26500,69000"
|
|---|
| 2519 | "-26000,69000"
|
|---|
| 2520 | ]
|
|---|
| 2521 | )
|
|---|
| 2522 | ]
|
|---|
| 2523 | )
|
|---|
| 2524 | stc 0
|
|---|
| 2525 | sf 1
|
|---|
| 2526 | tg (WTG
|
|---|
| 2527 | uid 1447,0
|
|---|
| 2528 | ps "PortIoTextPlaceStrategy"
|
|---|
| 2529 | stg "STSignalDisplayStrategy"
|
|---|
| 2530 | f (Text
|
|---|
| 2531 | uid 1448,0
|
|---|
| 2532 | va (VaSet
|
|---|
| 2533 | )
|
|---|
| 2534 | xt "-31800,68500,-29000,69500"
|
|---|
| 2535 | st "trigger"
|
|---|
| 2536 | ju 2
|
|---|
| 2537 | blo "-29000,69300"
|
|---|
| 2538 | tm "WireNameMgr"
|
|---|
| 2539 | )
|
|---|
| 2540 | )
|
|---|
| 2541 | )
|
|---|
| 2542 | *67 (SaComponent
|
|---|
| 2543 | uid 1606,0
|
|---|
| 2544 | optionalChildren [
|
|---|
| 2545 | *68 (CptPort
|
|---|
| 2546 | uid 1542,0
|
|---|
| 2547 | ps "OnEdgeStrategy"
|
|---|
| 2548 | shape (Triangle
|
|---|
| 2549 | uid 1543,0
|
|---|
| 2550 | ro 90
|
|---|
| 2551 | va (VaSet
|
|---|
| 2552 | vasetType 1
|
|---|
| 2553 | fg "0,65535,0"
|
|---|
| 2554 | )
|
|---|
| 2555 | xt "126250,51625,127000,52375"
|
|---|
| 2556 | )
|
|---|
| 2557 | tg (CPTG
|
|---|
| 2558 | uid 1544,0
|
|---|
| 2559 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2560 | stg "VerticalLayoutStrategy"
|
|---|
| 2561 | f (Text
|
|---|
| 2562 | uid 1545,0
|
|---|
| 2563 | va (VaSet
|
|---|
| 2564 | )
|
|---|
| 2565 | xt "128000,51500,129300,52500"
|
|---|
| 2566 | st "clk"
|
|---|
| 2567 | blo "128000,52300"
|
|---|
| 2568 | )
|
|---|
| 2569 | )
|
|---|
| 2570 | thePort (LogicalPort
|
|---|
| 2571 | decl (Decl
|
|---|
| 2572 | n "clk"
|
|---|
| 2573 | t "std_logic"
|
|---|
| 2574 | preAdd 0
|
|---|
| 2575 | posAdd 0
|
|---|
| 2576 | o 1
|
|---|
| 2577 | suid 1,0
|
|---|
| 2578 | )
|
|---|
| 2579 | )
|
|---|
| 2580 | )
|
|---|
| 2581 | *69 (CptPort
|
|---|
| 2582 | uid 1546,0
|
|---|
| 2583 | ps "OnEdgeStrategy"
|
|---|
| 2584 | shape (Triangle
|
|---|
| 2585 | uid 1547,0
|
|---|
| 2586 | ro 90
|
|---|
| 2587 | va (VaSet
|
|---|
| 2588 | vasetType 1
|
|---|
| 2589 | fg "0,65535,0"
|
|---|
| 2590 | )
|
|---|
| 2591 | xt "148000,51625,148750,52375"
|
|---|
| 2592 | )
|
|---|
| 2593 | tg (CPTG
|
|---|
| 2594 | uid 1548,0
|
|---|
| 2595 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2596 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2597 | f (Text
|
|---|
| 2598 | uid 1549,0
|
|---|
| 2599 | va (VaSet
|
|---|
| 2600 | )
|
|---|
| 2601 | xt "143400,51500,147000,52500"
|
|---|
| 2602 | st "wiz_reset"
|
|---|
| 2603 | ju 2
|
|---|
| 2604 | blo "147000,52300"
|
|---|
| 2605 | )
|
|---|
| 2606 | )
|
|---|
| 2607 | thePort (LogicalPort
|
|---|
| 2608 | m 1
|
|---|
| 2609 | decl (Decl
|
|---|
| 2610 | n "wiz_reset"
|
|---|
| 2611 | t "std_logic"
|
|---|
| 2612 | preAdd 0
|
|---|
| 2613 | posAdd 0
|
|---|
| 2614 | o 2
|
|---|
| 2615 | suid 2,0
|
|---|
| 2616 | i "'1'"
|
|---|
| 2617 | )
|
|---|
| 2618 | )
|
|---|
| 2619 | )
|
|---|
| 2620 | *70 (CptPort
|
|---|
| 2621 | uid 1550,0
|
|---|
| 2622 | ps "OnEdgeStrategy"
|
|---|
| 2623 | shape (Triangle
|
|---|
| 2624 | uid 1551,0
|
|---|
| 2625 | ro 90
|
|---|
| 2626 | va (VaSet
|
|---|
| 2627 | vasetType 1
|
|---|
| 2628 | fg "0,65535,0"
|
|---|
| 2629 | )
|
|---|
| 2630 | xt "148000,59625,148750,60375"
|
|---|
| 2631 | )
|
|---|
| 2632 | tg (CPTG
|
|---|
| 2633 | uid 1552,0
|
|---|
| 2634 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2635 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2636 | f (Text
|
|---|
| 2637 | uid 1553,0
|
|---|
| 2638 | va (VaSet
|
|---|
| 2639 | )
|
|---|
| 2640 | xt "142500,59500,147000,60500"
|
|---|
| 2641 | st "addr : (9:0)"
|
|---|
| 2642 | ju 2
|
|---|
| 2643 | blo "147000,60300"
|
|---|
| 2644 | )
|
|---|
| 2645 | )
|
|---|
| 2646 | thePort (LogicalPort
|
|---|
| 2647 | m 1
|
|---|
| 2648 | decl (Decl
|
|---|
| 2649 | n "addr"
|
|---|
| 2650 | t "std_logic_vector"
|
|---|
| 2651 | b "(9 DOWNTO 0)"
|
|---|
| 2652 | preAdd 0
|
|---|
| 2653 | posAdd 0
|
|---|
| 2654 | o 3
|
|---|
| 2655 | suid 3,0
|
|---|
| 2656 | )
|
|---|
| 2657 | )
|
|---|
| 2658 | )
|
|---|
| 2659 | *71 (CptPort
|
|---|
| 2660 | uid 1554,0
|
|---|
| 2661 | ps "OnEdgeStrategy"
|
|---|
| 2662 | shape (Diamond
|
|---|
| 2663 | uid 1555,0
|
|---|
| 2664 | ro 90
|
|---|
| 2665 | va (VaSet
|
|---|
| 2666 | vasetType 1
|
|---|
| 2667 | fg "0,65535,0"
|
|---|
| 2668 | )
|
|---|
| 2669 | xt "148000,60625,148750,61375"
|
|---|
| 2670 | )
|
|---|
| 2671 | tg (CPTG
|
|---|
| 2672 | uid 1556,0
|
|---|
| 2673 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2674 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2675 | f (Text
|
|---|
| 2676 | uid 1557,0
|
|---|
| 2677 | va (VaSet
|
|---|
| 2678 | )
|
|---|
| 2679 | xt "142200,60500,147000,61500"
|
|---|
| 2680 | st "data : (15:0)"
|
|---|
| 2681 | ju 2
|
|---|
| 2682 | blo "147000,61300"
|
|---|
| 2683 | )
|
|---|
| 2684 | )
|
|---|
| 2685 | thePort (LogicalPort
|
|---|
| 2686 | m 2
|
|---|
| 2687 | decl (Decl
|
|---|
| 2688 | n "data"
|
|---|
| 2689 | t "std_logic_vector"
|
|---|
| 2690 | b "(15 DOWNTO 0)"
|
|---|
| 2691 | preAdd 0
|
|---|
| 2692 | posAdd 0
|
|---|
| 2693 | o 4
|
|---|
| 2694 | suid 4,0
|
|---|
| 2695 | )
|
|---|
| 2696 | )
|
|---|
| 2697 | )
|
|---|
| 2698 | *72 (CptPort
|
|---|
| 2699 | uid 1558,0
|
|---|
| 2700 | ps "OnEdgeStrategy"
|
|---|
| 2701 | shape (Triangle
|
|---|
| 2702 | uid 1559,0
|
|---|
| 2703 | ro 90
|
|---|
| 2704 | va (VaSet
|
|---|
| 2705 | vasetType 1
|
|---|
| 2706 | fg "0,65535,0"
|
|---|
| 2707 | )
|
|---|
| 2708 | xt "148000,52625,148750,53375"
|
|---|
| 2709 | )
|
|---|
| 2710 | tg (CPTG
|
|---|
| 2711 | uid 1560,0
|
|---|
| 2712 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2713 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2714 | f (Text
|
|---|
| 2715 | uid 1561,0
|
|---|
| 2716 | va (VaSet
|
|---|
| 2717 | )
|
|---|
| 2718 | xt "145800,52500,147000,53500"
|
|---|
| 2719 | st "cs"
|
|---|
| 2720 | ju 2
|
|---|
| 2721 | blo "147000,53300"
|
|---|
| 2722 | )
|
|---|
| 2723 | )
|
|---|
| 2724 | thePort (LogicalPort
|
|---|
| 2725 | m 1
|
|---|
| 2726 | decl (Decl
|
|---|
| 2727 | n "cs"
|
|---|
| 2728 | t "std_logic"
|
|---|
| 2729 | preAdd 0
|
|---|
| 2730 | posAdd 0
|
|---|
| 2731 | o 5
|
|---|
| 2732 | suid 5,0
|
|---|
| 2733 | i "'1'"
|
|---|
| 2734 | )
|
|---|
| 2735 | )
|
|---|
| 2736 | )
|
|---|
| 2737 | *73 (CptPort
|
|---|
| 2738 | uid 1562,0
|
|---|
| 2739 | ps "OnEdgeStrategy"
|
|---|
| 2740 | shape (Triangle
|
|---|
| 2741 | uid 1563,0
|
|---|
| 2742 | ro 90
|
|---|
| 2743 | va (VaSet
|
|---|
| 2744 | vasetType 1
|
|---|
| 2745 | fg "0,65535,0"
|
|---|
| 2746 | )
|
|---|
| 2747 | xt "148000,53625,148750,54375"
|
|---|
| 2748 | )
|
|---|
| 2749 | tg (CPTG
|
|---|
| 2750 | uid 1564,0
|
|---|
| 2751 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2752 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2753 | f (Text
|
|---|
| 2754 | uid 1565,0
|
|---|
| 2755 | va (VaSet
|
|---|
| 2756 | )
|
|---|
| 2757 | xt "145800,53500,147000,54500"
|
|---|
| 2758 | st "wr"
|
|---|
| 2759 | ju 2
|
|---|
| 2760 | blo "147000,54300"
|
|---|
| 2761 | )
|
|---|
| 2762 | )
|
|---|
| 2763 | thePort (LogicalPort
|
|---|
| 2764 | m 1
|
|---|
| 2765 | decl (Decl
|
|---|
| 2766 | n "wr"
|
|---|
| 2767 | t "std_logic"
|
|---|
| 2768 | preAdd 0
|
|---|
| 2769 | posAdd 0
|
|---|
| 2770 | o 6
|
|---|
| 2771 | suid 6,0
|
|---|
| 2772 | i "'1'"
|
|---|
| 2773 | )
|
|---|
| 2774 | )
|
|---|
| 2775 | )
|
|---|
| 2776 | *74 (CptPort
|
|---|
| 2777 | uid 1570,0
|
|---|
| 2778 | ps "OnEdgeStrategy"
|
|---|
| 2779 | shape (Triangle
|
|---|
| 2780 | uid 1571,0
|
|---|
| 2781 | ro 90
|
|---|
| 2782 | va (VaSet
|
|---|
| 2783 | vasetType 1
|
|---|
| 2784 | fg "0,65535,0"
|
|---|
| 2785 | )
|
|---|
| 2786 | xt "148000,54625,148750,55375"
|
|---|
| 2787 | )
|
|---|
| 2788 | tg (CPTG
|
|---|
| 2789 | uid 1572,0
|
|---|
| 2790 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2791 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2792 | f (Text
|
|---|
| 2793 | uid 1573,0
|
|---|
| 2794 | va (VaSet
|
|---|
| 2795 | )
|
|---|
| 2796 | xt "145900,54500,147000,55500"
|
|---|
| 2797 | st "rd"
|
|---|
| 2798 | ju 2
|
|---|
| 2799 | blo "147000,55300"
|
|---|
| 2800 | )
|
|---|
| 2801 | )
|
|---|
| 2802 | thePort (LogicalPort
|
|---|
| 2803 | m 1
|
|---|
| 2804 | decl (Decl
|
|---|
| 2805 | n "rd"
|
|---|
| 2806 | t "std_logic"
|
|---|
| 2807 | preAdd 0
|
|---|
| 2808 | posAdd 0
|
|---|
| 2809 | o 8
|
|---|
| 2810 | suid 8,0
|
|---|
| 2811 | i "'1'"
|
|---|
| 2812 | )
|
|---|
| 2813 | )
|
|---|
| 2814 | )
|
|---|
| 2815 | *75 (CptPort
|
|---|
| 2816 | uid 1574,0
|
|---|
| 2817 | ps "OnEdgeStrategy"
|
|---|
| 2818 | shape (Triangle
|
|---|
| 2819 | uid 1575,0
|
|---|
| 2820 | ro 270
|
|---|
| 2821 | va (VaSet
|
|---|
| 2822 | vasetType 1
|
|---|
| 2823 | fg "0,65535,0"
|
|---|
| 2824 | )
|
|---|
| 2825 | xt "148000,55625,148750,56375"
|
|---|
| 2826 | )
|
|---|
| 2827 | tg (CPTG
|
|---|
| 2828 | uid 1576,0
|
|---|
| 2829 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2830 | stg "RightVerticalLayoutStrategy"
|
|---|
| 2831 | f (Text
|
|---|
| 2832 | uid 1577,0
|
|---|
| 2833 | va (VaSet
|
|---|
| 2834 | )
|
|---|
| 2835 | xt "145800,55500,147000,56500"
|
|---|
| 2836 | st "int"
|
|---|
| 2837 | ju 2
|
|---|
| 2838 | blo "147000,56300"
|
|---|
| 2839 | )
|
|---|
| 2840 | )
|
|---|
| 2841 | thePort (LogicalPort
|
|---|
| 2842 | decl (Decl
|
|---|
| 2843 | n "int"
|
|---|
| 2844 | t "std_logic"
|
|---|
| 2845 | preAdd 0
|
|---|
| 2846 | posAdd 0
|
|---|
| 2847 | o 9
|
|---|
| 2848 | suid 9,0
|
|---|
| 2849 | )
|
|---|
| 2850 | )
|
|---|
| 2851 | )
|
|---|
| 2852 | *76 (CptPort
|
|---|
| 2853 | uid 1578,0
|
|---|
| 2854 | ps "OnEdgeStrategy"
|
|---|
| 2855 | shape (Triangle
|
|---|
| 2856 | uid 1579,0
|
|---|
| 2857 | ro 90
|
|---|
| 2858 | va (VaSet
|
|---|
| 2859 | vasetType 1
|
|---|
| 2860 | fg "0,65535,0"
|
|---|
| 2861 | )
|
|---|
| 2862 | xt "126250,69625,127000,70375"
|
|---|
| 2863 | )
|
|---|
| 2864 | tg (CPTG
|
|---|
| 2865 | uid 1580,0
|
|---|
| 2866 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2867 | stg "VerticalLayoutStrategy"
|
|---|
| 2868 | f (Text
|
|---|
| 2869 | uid 1581,0
|
|---|
| 2870 | va (VaSet
|
|---|
| 2871 | )
|
|---|
| 2872 | xt "128000,69500,135900,70500"
|
|---|
| 2873 | st "write_length : (16:0)"
|
|---|
| 2874 | blo "128000,70300"
|
|---|
| 2875 | )
|
|---|
| 2876 | )
|
|---|
| 2877 | thePort (LogicalPort
|
|---|
| 2878 | decl (Decl
|
|---|
| 2879 | n "write_length"
|
|---|
| 2880 | t "std_logic_vector"
|
|---|
| 2881 | b "(16 DOWNTO 0)"
|
|---|
| 2882 | preAdd 0
|
|---|
| 2883 | posAdd 0
|
|---|
| 2884 | o 10
|
|---|
| 2885 | suid 10,0
|
|---|
| 2886 | )
|
|---|
| 2887 | )
|
|---|
| 2888 | )
|
|---|
| 2889 | *77 (CptPort
|
|---|
| 2890 | uid 1582,0
|
|---|
| 2891 | ps "OnEdgeStrategy"
|
|---|
| 2892 | shape (Triangle
|
|---|
| 2893 | uid 1583,0
|
|---|
| 2894 | ro 90
|
|---|
| 2895 | va (VaSet
|
|---|
| 2896 | vasetType 1
|
|---|
| 2897 | fg "0,65535,0"
|
|---|
| 2898 | )
|
|---|
| 2899 | xt "126250,70625,127000,71375"
|
|---|
| 2900 | )
|
|---|
| 2901 | tg (CPTG
|
|---|
| 2902 | uid 1584,0
|
|---|
| 2903 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2904 | stg "VerticalLayoutStrategy"
|
|---|
| 2905 | f (Text
|
|---|
| 2906 | uid 1585,0
|
|---|
| 2907 | va (VaSet
|
|---|
| 2908 | )
|
|---|
| 2909 | xt "128000,70500,144300,71500"
|
|---|
| 2910 | st "ram_start_addr : (RAM_ADDR_WIDTH-1:0)"
|
|---|
| 2911 | blo "128000,71300"
|
|---|
| 2912 | )
|
|---|
| 2913 | )
|
|---|
| 2914 | thePort (LogicalPort
|
|---|
| 2915 | decl (Decl
|
|---|
| 2916 | n "ram_start_addr"
|
|---|
| 2917 | t "std_logic_vector"
|
|---|
| 2918 | b "(RAM_ADDR_WIDTH-1 DOWNTO 0)"
|
|---|
| 2919 | preAdd 0
|
|---|
| 2920 | posAdd 0
|
|---|
| 2921 | o 11
|
|---|
| 2922 | suid 11,0
|
|---|
| 2923 | )
|
|---|
| 2924 | )
|
|---|
| 2925 | )
|
|---|
| 2926 | *78 (CptPort
|
|---|
| 2927 | uid 1586,0
|
|---|
| 2928 | ps "OnEdgeStrategy"
|
|---|
| 2929 | shape (Triangle
|
|---|
| 2930 | uid 1587,0
|
|---|
| 2931 | ro 90
|
|---|
| 2932 | va (VaSet
|
|---|
| 2933 | vasetType 1
|
|---|
| 2934 | fg "0,65535,0"
|
|---|
| 2935 | )
|
|---|
| 2936 | xt "126250,54625,127000,55375"
|
|---|
| 2937 | )
|
|---|
| 2938 | tg (CPTG
|
|---|
| 2939 | uid 1588,0
|
|---|
| 2940 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2941 | stg "VerticalLayoutStrategy"
|
|---|
| 2942 | f (Text
|
|---|
| 2943 | uid 1589,0
|
|---|
| 2944 | va (VaSet
|
|---|
| 2945 | )
|
|---|
| 2946 | xt "128000,54500,134500,55500"
|
|---|
| 2947 | st "ram_data : (15:0)"
|
|---|
| 2948 | blo "128000,55300"
|
|---|
| 2949 | )
|
|---|
| 2950 | )
|
|---|
| 2951 | thePort (LogicalPort
|
|---|
| 2952 | decl (Decl
|
|---|
| 2953 | n "ram_data"
|
|---|
| 2954 | t "std_logic_vector"
|
|---|
| 2955 | b "(15 DOWNTO 0)"
|
|---|
| 2956 | preAdd 0
|
|---|
| 2957 | posAdd 0
|
|---|
| 2958 | o 12
|
|---|
| 2959 | suid 12,0
|
|---|
| 2960 | )
|
|---|
| 2961 | )
|
|---|
| 2962 | )
|
|---|
| 2963 | *79 (CptPort
|
|---|
| 2964 | uid 1590,0
|
|---|
| 2965 | ps "OnEdgeStrategy"
|
|---|
| 2966 | shape (Triangle
|
|---|
| 2967 | uid 1591,0
|
|---|
| 2968 | ro 270
|
|---|
| 2969 | va (VaSet
|
|---|
| 2970 | vasetType 1
|
|---|
| 2971 | fg "0,65535,0"
|
|---|
| 2972 | )
|
|---|
| 2973 | xt "126250,53625,127000,54375"
|
|---|
| 2974 | )
|
|---|
| 2975 | tg (CPTG
|
|---|
| 2976 | uid 1592,0
|
|---|
| 2977 | ps "CptPortTextPlaceStrategy"
|
|---|
| 2978 | stg "VerticalLayoutStrategy"
|
|---|
| 2979 | f (Text
|
|---|
| 2980 | uid 1593,0
|
|---|
| 2981 | va (VaSet
|
|---|
| 2982 | )
|
|---|
| 2983 | xt "128000,53500,142400,54500"
|
|---|
| 2984 | st "ram_addr : (RAM_ADDR_WIDTH-1:0)"
|
|---|
| 2985 | blo "128000,54300"
|
|---|
| 2986 | )
|
|---|
| 2987 | )
|
|---|
| 2988 | thePort (LogicalPort
|
|---|
| 2989 | m 1
|
|---|
| 2990 | decl (Decl
|
|---|
| 2991 | n "ram_addr"
|
|---|
| 2992 | t "std_logic_vector"
|
|---|
| 2993 | b "(RAM_ADDR_WIDTH-1 DOWNTO 0)"
|
|---|
| 2994 | preAdd 0
|
|---|
| 2995 | posAdd 0
|
|---|
| 2996 | o 13
|
|---|
| 2997 | suid 13,0
|
|---|
| 2998 | )
|
|---|
| 2999 | )
|
|---|
| 3000 | )
|
|---|
| 3001 | *80 (CptPort
|
|---|
| 3002 | uid 1594,0
|
|---|
| 3003 | ps "OnEdgeStrategy"
|
|---|
| 3004 | shape (Triangle
|
|---|
| 3005 | uid 1595,0
|
|---|
| 3006 | ro 90
|
|---|
| 3007 | va (VaSet
|
|---|
| 3008 | vasetType 1
|
|---|
| 3009 | fg "0,65535,0"
|
|---|
| 3010 | )
|
|---|
| 3011 | xt "126250,68625,127000,69375"
|
|---|
| 3012 | )
|
|---|
| 3013 | tg (CPTG
|
|---|
| 3014 | uid 1596,0
|
|---|
| 3015 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3016 | stg "VerticalLayoutStrategy"
|
|---|
| 3017 | f (Text
|
|---|
| 3018 | uid 1597,0
|
|---|
| 3019 | va (VaSet
|
|---|
| 3020 | )
|
|---|
| 3021 | xt "128000,68500,132100,69500"
|
|---|
| 3022 | st "data_valid"
|
|---|
| 3023 | blo "128000,69300"
|
|---|
| 3024 | )
|
|---|
| 3025 | )
|
|---|
| 3026 | thePort (LogicalPort
|
|---|
| 3027 | decl (Decl
|
|---|
| 3028 | n "data_valid"
|
|---|
| 3029 | t "std_logic"
|
|---|
| 3030 | preAdd 0
|
|---|
| 3031 | posAdd 0
|
|---|
| 3032 | o 14
|
|---|
| 3033 | suid 14,0
|
|---|
| 3034 | )
|
|---|
| 3035 | )
|
|---|
| 3036 | )
|
|---|
| 3037 | *81 (CptPort
|
|---|
| 3038 | uid 1598,0
|
|---|
| 3039 | ps "OnEdgeStrategy"
|
|---|
| 3040 | shape (Triangle
|
|---|
| 3041 | uid 1599,0
|
|---|
| 3042 | ro 270
|
|---|
| 3043 | va (VaSet
|
|---|
| 3044 | vasetType 1
|
|---|
| 3045 | fg "0,65535,0"
|
|---|
| 3046 | )
|
|---|
| 3047 | xt "126250,67625,127000,68375"
|
|---|
| 3048 | )
|
|---|
| 3049 | tg (CPTG
|
|---|
| 3050 | uid 1600,0
|
|---|
| 3051 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3052 | stg "VerticalLayoutStrategy"
|
|---|
| 3053 | f (Text
|
|---|
| 3054 | uid 1601,0
|
|---|
| 3055 | va (VaSet
|
|---|
| 3056 | )
|
|---|
| 3057 | xt "128000,67500,129900,68500"
|
|---|
| 3058 | st "busy"
|
|---|
| 3059 | blo "128000,68300"
|
|---|
| 3060 | )
|
|---|
| 3061 | )
|
|---|
| 3062 | thePort (LogicalPort
|
|---|
| 3063 | m 1
|
|---|
| 3064 | decl (Decl
|
|---|
| 3065 | n "busy"
|
|---|
| 3066 | t "std_logic"
|
|---|
| 3067 | preAdd 0
|
|---|
| 3068 | posAdd 0
|
|---|
| 3069 | o 16
|
|---|
| 3070 | suid 15,0
|
|---|
| 3071 | i "'1'"
|
|---|
| 3072 | )
|
|---|
| 3073 | )
|
|---|
| 3074 | )
|
|---|
| 3075 | *82 (CptPort
|
|---|
| 3076 | uid 2218,0
|
|---|
| 3077 | ps "OnEdgeStrategy"
|
|---|
| 3078 | shape (Triangle
|
|---|
| 3079 | uid 2219,0
|
|---|
| 3080 | ro 90
|
|---|
| 3081 | va (VaSet
|
|---|
| 3082 | vasetType 1
|
|---|
| 3083 | fg "0,65535,0"
|
|---|
| 3084 | )
|
|---|
| 3085 | xt "126250,71625,127000,72375"
|
|---|
| 3086 | )
|
|---|
| 3087 | tg (CPTG
|
|---|
| 3088 | uid 2220,0
|
|---|
| 3089 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3090 | stg "VerticalLayoutStrategy"
|
|---|
| 3091 | f (Text
|
|---|
| 3092 | uid 2221,0
|
|---|
| 3093 | va (VaSet
|
|---|
| 3094 | )
|
|---|
| 3095 | xt "128000,71500,135800,72500"
|
|---|
| 3096 | st "fifo_channels : (3:0)"
|
|---|
| 3097 | blo "128000,72300"
|
|---|
| 3098 | )
|
|---|
| 3099 | )
|
|---|
| 3100 | thePort (LogicalPort
|
|---|
| 3101 | decl (Decl
|
|---|
| 3102 | n "fifo_channels"
|
|---|
| 3103 | t "std_logic_vector"
|
|---|
| 3104 | b "(3 downto 0)"
|
|---|
| 3105 | o 19
|
|---|
| 3106 | suid 20,0
|
|---|
| 3107 | )
|
|---|
| 3108 | )
|
|---|
| 3109 | )
|
|---|
| 3110 | *83 (CptPort
|
|---|
| 3111 | uid 2222,0
|
|---|
| 3112 | ps "OnEdgeStrategy"
|
|---|
| 3113 | shape (Triangle
|
|---|
| 3114 | uid 2223,0
|
|---|
| 3115 | ro 90
|
|---|
| 3116 | va (VaSet
|
|---|
| 3117 | vasetType 1
|
|---|
| 3118 | fg "0,65535,0"
|
|---|
| 3119 | )
|
|---|
| 3120 | xt "126250,72625,127000,73375"
|
|---|
| 3121 | )
|
|---|
| 3122 | tg (CPTG
|
|---|
| 3123 | uid 2224,0
|
|---|
| 3124 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3125 | stg "VerticalLayoutStrategy"
|
|---|
| 3126 | f (Text
|
|---|
| 3127 | uid 2225,0
|
|---|
| 3128 | va (VaSet
|
|---|
| 3129 | )
|
|---|
| 3130 | xt "128000,72500,133700,73500"
|
|---|
| 3131 | st "write_end_flag"
|
|---|
| 3132 | blo "128000,73300"
|
|---|
| 3133 | )
|
|---|
| 3134 | )
|
|---|
| 3135 | thePort (LogicalPort
|
|---|
| 3136 | decl (Decl
|
|---|
| 3137 | n "write_end_flag"
|
|---|
| 3138 | t "std_logic"
|
|---|
| 3139 | o 18
|
|---|
| 3140 | suid 18,0
|
|---|
| 3141 | )
|
|---|
| 3142 | )
|
|---|
| 3143 | )
|
|---|
| 3144 | *84 (CptPort
|
|---|
| 3145 | uid 2226,0
|
|---|
| 3146 | ps "OnEdgeStrategy"
|
|---|
| 3147 | shape (Triangle
|
|---|
| 3148 | uid 2227,0
|
|---|
| 3149 | ro 90
|
|---|
| 3150 | va (VaSet
|
|---|
| 3151 | vasetType 1
|
|---|
| 3152 | fg "0,65535,0"
|
|---|
| 3153 | )
|
|---|
| 3154 | xt "126250,73625,127000,74375"
|
|---|
| 3155 | )
|
|---|
| 3156 | tg (CPTG
|
|---|
| 3157 | uid 2228,0
|
|---|
| 3158 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3159 | stg "VerticalLayoutStrategy"
|
|---|
| 3160 | f (Text
|
|---|
| 3161 | uid 2229,0
|
|---|
| 3162 | va (VaSet
|
|---|
| 3163 | )
|
|---|
| 3164 | xt "128000,73500,134800,74500"
|
|---|
| 3165 | st "write_header_flag"
|
|---|
| 3166 | blo "128000,74300"
|
|---|
| 3167 | )
|
|---|
| 3168 | )
|
|---|
| 3169 | thePort (LogicalPort
|
|---|
| 3170 | decl (Decl
|
|---|
| 3171 | n "write_header_flag"
|
|---|
| 3172 | t "std_logic"
|
|---|
| 3173 | o 17
|
|---|
| 3174 | suid 19,0
|
|---|
| 3175 | )
|
|---|
| 3176 | )
|
|---|
| 3177 | )
|
|---|
| 3178 | *85 (CptPort
|
|---|
| 3179 | uid 5216,0
|
|---|
| 3180 | ps "OnEdgeStrategy"
|
|---|
| 3181 | shape (Triangle
|
|---|
| 3182 | uid 5217,0
|
|---|
| 3183 | ro 90
|
|---|
| 3184 | va (VaSet
|
|---|
| 3185 | vasetType 1
|
|---|
| 3186 | fg "0,65535,0"
|
|---|
| 3187 | )
|
|---|
| 3188 | xt "148000,70625,148750,71375"
|
|---|
| 3189 | )
|
|---|
| 3190 | tg (CPTG
|
|---|
| 3191 | uid 5218,0
|
|---|
| 3192 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3193 | stg "RightVerticalLayoutStrategy"
|
|---|
| 3194 | f (Text
|
|---|
| 3195 | uid 5219,0
|
|---|
| 3196 | va (VaSet
|
|---|
| 3197 | )
|
|---|
| 3198 | xt "143000,70500,147000,71500"
|
|---|
| 3199 | st "led : (7:0)"
|
|---|
| 3200 | ju 2
|
|---|
| 3201 | blo "147000,71300"
|
|---|
| 3202 | )
|
|---|
| 3203 | )
|
|---|
| 3204 | thePort (LogicalPort
|
|---|
| 3205 | m 1
|
|---|
| 3206 | decl (Decl
|
|---|
| 3207 | n "led"
|
|---|
| 3208 | t "std_logic_vector"
|
|---|
| 3209 | b "(7 DOWNTO 0)"
|
|---|
| 3210 | posAdd 0
|
|---|
| 3211 | o 7
|
|---|
| 3212 | suid 22,0
|
|---|
| 3213 | i "(OTHERS => '0')"
|
|---|
| 3214 | )
|
|---|
| 3215 | )
|
|---|
| 3216 | )
|
|---|
| 3217 | *86 (CptPort
|
|---|
| 3218 | uid 5275,0
|
|---|
| 3219 | ps "OnEdgeStrategy"
|
|---|
| 3220 | shape (Triangle
|
|---|
| 3221 | uid 5276,0
|
|---|
| 3222 | ro 270
|
|---|
| 3223 | va (VaSet
|
|---|
| 3224 | vasetType 1
|
|---|
| 3225 | fg "0,65535,0"
|
|---|
| 3226 | )
|
|---|
| 3227 | xt "126250,58625,127000,59375"
|
|---|
| 3228 | )
|
|---|
| 3229 | tg (CPTG
|
|---|
| 3230 | uid 5277,0
|
|---|
| 3231 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3232 | stg "VerticalLayoutStrategy"
|
|---|
| 3233 | f (Text
|
|---|
| 3234 | uid 5278,0
|
|---|
| 3235 | va (VaSet
|
|---|
| 3236 | )
|
|---|
| 3237 | xt "128000,58500,131600,59500"
|
|---|
| 3238 | st "s_trigger"
|
|---|
| 3239 | blo "128000,59300"
|
|---|
| 3240 | )
|
|---|
| 3241 | )
|
|---|
| 3242 | thePort (LogicalPort
|
|---|
| 3243 | m 1
|
|---|
| 3244 | decl (Decl
|
|---|
| 3245 | n "s_trigger"
|
|---|
| 3246 | t "std_logic"
|
|---|
| 3247 | o 20
|
|---|
| 3248 | suid 23,0
|
|---|
| 3249 | i "'0'"
|
|---|
| 3250 | )
|
|---|
| 3251 | )
|
|---|
| 3252 | )
|
|---|
| 3253 | *87 (CptPort
|
|---|
| 3254 | uid 5924,0
|
|---|
| 3255 | ps "OnEdgeStrategy"
|
|---|
| 3256 | shape (Triangle
|
|---|
| 3257 | uid 5925,0
|
|---|
| 3258 | ro 270
|
|---|
| 3259 | va (VaSet
|
|---|
| 3260 | vasetType 1
|
|---|
| 3261 | fg "0,65535,0"
|
|---|
| 3262 | )
|
|---|
| 3263 | xt "126250,78625,127000,79375"
|
|---|
| 3264 | )
|
|---|
| 3265 | tg (CPTG
|
|---|
| 3266 | uid 5926,0
|
|---|
| 3267 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3268 | stg "VerticalLayoutStrategy"
|
|---|
| 3269 | f (Text
|
|---|
| 3270 | uid 5927,0
|
|---|
| 3271 | va (VaSet
|
|---|
| 3272 | )
|
|---|
| 3273 | xt "128000,78500,135000,79500"
|
|---|
| 3274 | st "config_addr : (7:0)"
|
|---|
| 3275 | blo "128000,79300"
|
|---|
| 3276 | )
|
|---|
| 3277 | )
|
|---|
| 3278 | thePort (LogicalPort
|
|---|
| 3279 | m 1
|
|---|
| 3280 | decl (Decl
|
|---|
| 3281 | n "config_addr"
|
|---|
| 3282 | t "std_logic_vector"
|
|---|
| 3283 | b "(7 downto 0)"
|
|---|
| 3284 | o 23
|
|---|
| 3285 | suid 24,0
|
|---|
| 3286 | )
|
|---|
| 3287 | )
|
|---|
| 3288 | )
|
|---|
| 3289 | *88 (CptPort
|
|---|
| 3290 | uid 5928,0
|
|---|
| 3291 | ps "OnEdgeStrategy"
|
|---|
| 3292 | shape (Triangle
|
|---|
| 3293 | uid 5929,0
|
|---|
| 3294 | ro 90
|
|---|
| 3295 | va (VaSet
|
|---|
| 3296 | vasetType 1
|
|---|
| 3297 | fg "0,65535,0"
|
|---|
| 3298 | )
|
|---|
| 3299 | xt "126250,83625,127000,84375"
|
|---|
| 3300 | )
|
|---|
| 3301 | tg (CPTG
|
|---|
| 3302 | uid 5930,0
|
|---|
| 3303 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3304 | stg "VerticalLayoutStrategy"
|
|---|
| 3305 | f (Text
|
|---|
| 3306 | uid 5931,0
|
|---|
| 3307 | va (VaSet
|
|---|
| 3308 | )
|
|---|
| 3309 | xt "128000,83500,132800,84500"
|
|---|
| 3310 | st "config_busy"
|
|---|
| 3311 | blo "128000,84300"
|
|---|
| 3312 | )
|
|---|
| 3313 | )
|
|---|
| 3314 | thePort (LogicalPort
|
|---|
| 3315 | decl (Decl
|
|---|
| 3316 | n "config_busy"
|
|---|
| 3317 | t "std_logic"
|
|---|
| 3318 | o 27
|
|---|
| 3319 | suid 25,0
|
|---|
| 3320 | )
|
|---|
| 3321 | )
|
|---|
| 3322 | )
|
|---|
| 3323 | *89 (CptPort
|
|---|
| 3324 | uid 5932,0
|
|---|
| 3325 | ps "OnEdgeStrategy"
|
|---|
| 3326 | shape (Diamond
|
|---|
| 3327 | uid 6051,0
|
|---|
| 3328 | ro 270
|
|---|
| 3329 | va (VaSet
|
|---|
| 3330 | vasetType 1
|
|---|
| 3331 | fg "0,65535,0"
|
|---|
| 3332 | )
|
|---|
| 3333 | xt "126250,79625,127000,80375"
|
|---|
| 3334 | )
|
|---|
| 3335 | tg (CPTG
|
|---|
| 3336 | uid 5934,0
|
|---|
| 3337 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3338 | stg "VerticalLayoutStrategy"
|
|---|
| 3339 | f (Text
|
|---|
| 3340 | uid 5935,0
|
|---|
| 3341 | va (VaSet
|
|---|
| 3342 | )
|
|---|
| 3343 | xt "128000,79500,135700,80500"
|
|---|
| 3344 | st "config_data : (15:0)"
|
|---|
| 3345 | blo "128000,80300"
|
|---|
| 3346 | )
|
|---|
| 3347 | )
|
|---|
| 3348 | thePort (LogicalPort
|
|---|
| 3349 | m 2
|
|---|
| 3350 | decl (Decl
|
|---|
| 3351 | n "config_data"
|
|---|
| 3352 | t "std_logic_vector"
|
|---|
| 3353 | b "(15 downto 0)"
|
|---|
| 3354 | o 24
|
|---|
| 3355 | suid 26,0
|
|---|
| 3356 | i "(others => 'Z')"
|
|---|
| 3357 | )
|
|---|
| 3358 | )
|
|---|
| 3359 | )
|
|---|
| 3360 | *90 (CptPort
|
|---|
| 3361 | uid 5936,0
|
|---|
| 3362 | ps "OnEdgeStrategy"
|
|---|
| 3363 | shape (Triangle
|
|---|
| 3364 | uid 5937,0
|
|---|
| 3365 | ro 90
|
|---|
| 3366 | va (VaSet
|
|---|
| 3367 | vasetType 1
|
|---|
| 3368 | fg "0,65535,0"
|
|---|
| 3369 | )
|
|---|
| 3370 | xt "126250,60625,127000,61375"
|
|---|
| 3371 | )
|
|---|
| 3372 | tg (CPTG
|
|---|
| 3373 | uid 5938,0
|
|---|
| 3374 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3375 | stg "VerticalLayoutStrategy"
|
|---|
| 3376 | f (Text
|
|---|
| 3377 | uid 5939,0
|
|---|
| 3378 | va (VaSet
|
|---|
| 3379 | )
|
|---|
| 3380 | xt "128000,60500,133600,61500"
|
|---|
| 3381 | st "config_started"
|
|---|
| 3382 | blo "128000,61300"
|
|---|
| 3383 | )
|
|---|
| 3384 | )
|
|---|
| 3385 | thePort (LogicalPort
|
|---|
| 3386 | decl (Decl
|
|---|
| 3387 | n "config_started"
|
|---|
| 3388 | t "std_logic"
|
|---|
| 3389 | o 22
|
|---|
| 3390 | suid 27,0
|
|---|
| 3391 | )
|
|---|
| 3392 | )
|
|---|
| 3393 | )
|
|---|
| 3394 | *91 (CptPort
|
|---|
| 3395 | uid 5940,0
|
|---|
| 3396 | ps "OnEdgeStrategy"
|
|---|
| 3397 | shape (Triangle
|
|---|
| 3398 | uid 5941,0
|
|---|
| 3399 | ro 270
|
|---|
| 3400 | va (VaSet
|
|---|
| 3401 | vasetType 1
|
|---|
| 3402 | fg "0,65535,0"
|
|---|
| 3403 | )
|
|---|
| 3404 | xt "126250,81625,127000,82375"
|
|---|
| 3405 | )
|
|---|
| 3406 | tg (CPTG
|
|---|
| 3407 | uid 5942,0
|
|---|
| 3408 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3409 | stg "VerticalLayoutStrategy"
|
|---|
| 3410 | f (Text
|
|---|
| 3411 | uid 5943,0
|
|---|
| 3412 | va (VaSet
|
|---|
| 3413 | )
|
|---|
| 3414 | xt "128000,81500,133300,82500"
|
|---|
| 3415 | st "config_wr_en"
|
|---|
| 3416 | blo "128000,82300"
|
|---|
| 3417 | )
|
|---|
| 3418 | )
|
|---|
| 3419 | thePort (LogicalPort
|
|---|
| 3420 | m 1
|
|---|
| 3421 | decl (Decl
|
|---|
| 3422 | n "config_wr_en"
|
|---|
| 3423 | t "std_logic"
|
|---|
| 3424 | o 25
|
|---|
| 3425 | suid 28,0
|
|---|
| 3426 | i "'0'"
|
|---|
| 3427 | )
|
|---|
| 3428 | )
|
|---|
| 3429 | )
|
|---|
| 3430 | *92 (CptPort
|
|---|
| 3431 | uid 5944,0
|
|---|
| 3432 | ps "OnEdgeStrategy"
|
|---|
| 3433 | shape (Triangle
|
|---|
| 3434 | uid 5945,0
|
|---|
| 3435 | ro 270
|
|---|
| 3436 | va (VaSet
|
|---|
| 3437 | vasetType 1
|
|---|
| 3438 | fg "0,65535,0"
|
|---|
| 3439 | )
|
|---|
| 3440 | xt "126250,59625,127000,60375"
|
|---|
| 3441 | )
|
|---|
| 3442 | tg (CPTG
|
|---|
| 3443 | uid 5946,0
|
|---|
| 3444 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3445 | stg "VerticalLayoutStrategy"
|
|---|
| 3446 | f (Text
|
|---|
| 3447 | uid 5947,0
|
|---|
| 3448 | va (VaSet
|
|---|
| 3449 | )
|
|---|
| 3450 | xt "128000,59500,132600,60500"
|
|---|
| 3451 | st "new_config"
|
|---|
| 3452 | blo "128000,60300"
|
|---|
| 3453 | )
|
|---|
| 3454 | )
|
|---|
| 3455 | thePort (LogicalPort
|
|---|
| 3456 | m 1
|
|---|
| 3457 | decl (Decl
|
|---|
| 3458 | n "new_config"
|
|---|
| 3459 | t "std_logic"
|
|---|
| 3460 | o 21
|
|---|
| 3461 | suid 29,0
|
|---|
| 3462 | i "'0'"
|
|---|
| 3463 | )
|
|---|
| 3464 | )
|
|---|
| 3465 | )
|
|---|
| 3466 | *93 (CptPort
|
|---|
| 3467 | uid 5970,0
|
|---|
| 3468 | ps "OnEdgeStrategy"
|
|---|
| 3469 | shape (Triangle
|
|---|
| 3470 | uid 5971,0
|
|---|
| 3471 | ro 270
|
|---|
| 3472 | va (VaSet
|
|---|
| 3473 | vasetType 1
|
|---|
| 3474 | fg "0,65535,0"
|
|---|
| 3475 | )
|
|---|
| 3476 | xt "126250,82625,127000,83375"
|
|---|
| 3477 | )
|
|---|
| 3478 | tg (CPTG
|
|---|
| 3479 | uid 5972,0
|
|---|
| 3480 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3481 | stg "VerticalLayoutStrategy"
|
|---|
| 3482 | f (Text
|
|---|
| 3483 | uid 5973,0
|
|---|
| 3484 | va (VaSet
|
|---|
| 3485 | )
|
|---|
| 3486 | xt "128000,82500,133200,83500"
|
|---|
| 3487 | st "config_rd_en"
|
|---|
| 3488 | blo "128000,83300"
|
|---|
| 3489 | )
|
|---|
| 3490 | )
|
|---|
| 3491 | thePort (LogicalPort
|
|---|
| 3492 | m 1
|
|---|
| 3493 | decl (Decl
|
|---|
| 3494 | n "config_rd_en"
|
|---|
| 3495 | t "std_logic"
|
|---|
| 3496 | o 26
|
|---|
| 3497 | suid 30,0
|
|---|
| 3498 | i "'0'"
|
|---|
| 3499 | )
|
|---|
| 3500 | )
|
|---|
| 3501 | )
|
|---|
| 3502 | *94 (CptPort
|
|---|
| 3503 | uid 6356,0
|
|---|
| 3504 | ps "OnEdgeStrategy"
|
|---|
| 3505 | shape (Triangle
|
|---|
| 3506 | uid 6357,0
|
|---|
| 3507 | ro 90
|
|---|
| 3508 | va (VaSet
|
|---|
| 3509 | vasetType 1
|
|---|
| 3510 | fg "0,65535,0"
|
|---|
| 3511 | )
|
|---|
| 3512 | xt "148000,74625,148750,75375"
|
|---|
| 3513 | )
|
|---|
| 3514 | tg (CPTG
|
|---|
| 3515 | uid 6358,0
|
|---|
| 3516 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3517 | stg "RightVerticalLayoutStrategy"
|
|---|
| 3518 | f (Text
|
|---|
| 3519 | uid 6359,0
|
|---|
| 3520 | va (VaSet
|
|---|
| 3521 | )
|
|---|
| 3522 | xt "144000,74500,147000,75500"
|
|---|
| 3523 | st "denable"
|
|---|
| 3524 | ju 2
|
|---|
| 3525 | blo "147000,75300"
|
|---|
| 3526 | )
|
|---|
| 3527 | )
|
|---|
| 3528 | thePort (LogicalPort
|
|---|
| 3529 | m 1
|
|---|
| 3530 | decl (Decl
|
|---|
| 3531 | n "denable"
|
|---|
| 3532 | t "std_logic"
|
|---|
| 3533 | eolc "-- default domino wave off"
|
|---|
| 3534 | posAdd 0
|
|---|
| 3535 | o 28
|
|---|
| 3536 | suid 31,0
|
|---|
| 3537 | i "'0'"
|
|---|
| 3538 | )
|
|---|
| 3539 | )
|
|---|
| 3540 | )
|
|---|
| 3541 | *95 (CptPort
|
|---|
| 3542 | uid 6446,0
|
|---|
| 3543 | ps "OnEdgeStrategy"
|
|---|
| 3544 | shape (Triangle
|
|---|
| 3545 | uid 6447,0
|
|---|
| 3546 | ro 90
|
|---|
| 3547 | va (VaSet
|
|---|
| 3548 | vasetType 1
|
|---|
| 3549 | fg "0,65535,0"
|
|---|
| 3550 | )
|
|---|
| 3551 | xt "148000,75625,148750,76375"
|
|---|
| 3552 | )
|
|---|
| 3553 | tg (CPTG
|
|---|
| 3554 | uid 6448,0
|
|---|
| 3555 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3556 | stg "RightVerticalLayoutStrategy"
|
|---|
| 3557 | f (Text
|
|---|
| 3558 | uid 6449,0
|
|---|
| 3559 | va (VaSet
|
|---|
| 3560 | )
|
|---|
| 3561 | xt "141600,75500,147000,76500"
|
|---|
| 3562 | st "dwrite_enable"
|
|---|
| 3563 | ju 2
|
|---|
| 3564 | blo "147000,76300"
|
|---|
| 3565 | )
|
|---|
| 3566 | )
|
|---|
| 3567 | thePort (LogicalPort
|
|---|
| 3568 | m 1
|
|---|
| 3569 | decl (Decl
|
|---|
| 3570 | n "dwrite_enable"
|
|---|
| 3571 | t "std_logic"
|
|---|
| 3572 | eolc "-- default DWRITE low."
|
|---|
| 3573 | preAdd 0
|
|---|
| 3574 | posAdd 0
|
|---|
| 3575 | o 29
|
|---|
| 3576 | suid 32,0
|
|---|
| 3577 | i "'0'"
|
|---|
| 3578 | )
|
|---|
| 3579 | )
|
|---|
| 3580 | )
|
|---|
| 3581 | *96 (CptPort
|
|---|
| 3582 | uid 8406,0
|
|---|
| 3583 | ps "OnEdgeStrategy"
|
|---|
| 3584 | shape (Triangle
|
|---|
| 3585 | uid 8407,0
|
|---|
| 3586 | ro 270
|
|---|
| 3587 | va (VaSet
|
|---|
| 3588 | vasetType 1
|
|---|
| 3589 | fg "0,65535,0"
|
|---|
| 3590 | )
|
|---|
| 3591 | xt "126250,74625,127000,75375"
|
|---|
| 3592 | )
|
|---|
| 3593 | tg (CPTG
|
|---|
| 3594 | uid 8408,0
|
|---|
| 3595 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3596 | stg "VerticalLayoutStrategy"
|
|---|
| 3597 | f (Text
|
|---|
| 3598 | uid 8409,0
|
|---|
| 3599 | va (VaSet
|
|---|
| 3600 | )
|
|---|
| 3601 | xt "128000,74500,133600,75500"
|
|---|
| 3602 | st "data_valid_ack"
|
|---|
| 3603 | blo "128000,75300"
|
|---|
| 3604 | )
|
|---|
| 3605 | )
|
|---|
| 3606 | thePort (LogicalPort
|
|---|
| 3607 | m 1
|
|---|
| 3608 | decl (Decl
|
|---|
| 3609 | n "data_valid_ack"
|
|---|
| 3610 | t "std_logic"
|
|---|
| 3611 | o 15
|
|---|
| 3612 | suid 34,0
|
|---|
| 3613 | i "'0'"
|
|---|
| 3614 | )
|
|---|
| 3615 | )
|
|---|
| 3616 | )
|
|---|
| 3617 | ]
|
|---|
| 3618 | shape (Rectangle
|
|---|
| 3619 | uid 1607,0
|
|---|
| 3620 | va (VaSet
|
|---|
| 3621 | vasetType 1
|
|---|
| 3622 | fg "0,65535,0"
|
|---|
| 3623 | lineColor "0,32896,0"
|
|---|
| 3624 | lineWidth 2
|
|---|
| 3625 | )
|
|---|
| 3626 | xt "127000,51000,148000,87000"
|
|---|
| 3627 | )
|
|---|
| 3628 | oxt "43000,2000,56000,22000"
|
|---|
| 3629 | ttg (MlTextGroup
|
|---|
| 3630 | uid 1608,0
|
|---|
| 3631 | ps "CenterOffsetStrategy"
|
|---|
| 3632 | stg "VerticalLayoutStrategy"
|
|---|
| 3633 | textVec [
|
|---|
| 3634 | *97 (Text
|
|---|
| 3635 | uid 1609,0
|
|---|
| 3636 | va (VaSet
|
|---|
| 3637 | font "Arial,8,1"
|
|---|
| 3638 | )
|
|---|
| 3639 | xt "126700,87000,132900,88000"
|
|---|
| 3640 | st "FACT_FAD_lib"
|
|---|
| 3641 | blo "126700,87800"
|
|---|
| 3642 | tm "BdLibraryNameMgr"
|
|---|
| 3643 | )
|
|---|
| 3644 | *98 (Text
|
|---|
| 3645 | uid 1610,0
|
|---|
| 3646 | va (VaSet
|
|---|
| 3647 | font "Arial,8,1"
|
|---|
| 3648 | )
|
|---|
| 3649 | xt "126700,88000,132400,89000"
|
|---|
| 3650 | st "w5300_modul"
|
|---|
| 3651 | blo "126700,88800"
|
|---|
| 3652 | tm "CptNameMgr"
|
|---|
| 3653 | )
|
|---|
| 3654 | *99 (Text
|
|---|
| 3655 | uid 1611,0
|
|---|
| 3656 | va (VaSet
|
|---|
| 3657 | font "Arial,8,1"
|
|---|
| 3658 | )
|
|---|
| 3659 | xt "126700,89000,133400,90000"
|
|---|
| 3660 | st "I_main_ethernet"
|
|---|
| 3661 | blo "126700,89800"
|
|---|
| 3662 | tm "InstanceNameMgr"
|
|---|
| 3663 | )
|
|---|
| 3664 | ]
|
|---|
| 3665 | )
|
|---|
| 3666 | ga (GenericAssociation
|
|---|
| 3667 | uid 1612,0
|
|---|
| 3668 | ps "EdgeToEdgeStrategy"
|
|---|
| 3669 | matrix (Matrix
|
|---|
| 3670 | uid 1613,0
|
|---|
| 3671 | text (MLText
|
|---|
| 3672 | uid 1614,0
|
|---|
| 3673 | va (VaSet
|
|---|
| 3674 | font "Courier New,8,0"
|
|---|
| 3675 | )
|
|---|
| 3676 | xt "127000,50200,154500,51000"
|
|---|
| 3677 | st "RAM_ADDR_WIDTH = RAMADDRWIDTH64b+2 ( integer ) "
|
|---|
| 3678 | )
|
|---|
| 3679 | header ""
|
|---|
| 3680 | )
|
|---|
| 3681 | elements [
|
|---|
| 3682 | (GiElement
|
|---|
| 3683 | name "RAM_ADDR_WIDTH"
|
|---|
| 3684 | type "integer"
|
|---|
| 3685 | value "RAMADDRWIDTH64b+2"
|
|---|
| 3686 | )
|
|---|
| 3687 | ]
|
|---|
| 3688 | )
|
|---|
| 3689 | viewicon (ZoomableIcon
|
|---|
| 3690 | uid 1615,0
|
|---|
| 3691 | sl 0
|
|---|
| 3692 | va (VaSet
|
|---|
| 3693 | vasetType 1
|
|---|
| 3694 | fg "49152,49152,49152"
|
|---|
| 3695 | )
|
|---|
| 3696 | xt "127250,85250,128750,86750"
|
|---|
| 3697 | iconName "VhdlFileViewIcon.png"
|
|---|
| 3698 | iconMaskName "VhdlFileViewIcon.msk"
|
|---|
| 3699 | ftype 10
|
|---|
| 3700 | )
|
|---|
| 3701 | ordering 1
|
|---|
| 3702 | viewiconposition 0
|
|---|
| 3703 | portVis (PortSigDisplay
|
|---|
| 3704 | )
|
|---|
| 3705 | archFileType "UNKNOWN"
|
|---|
| 3706 | )
|
|---|
| 3707 | *100 (Net
|
|---|
| 3708 | uid 1680,0
|
|---|
| 3709 | decl (Decl
|
|---|
| 3710 | n "crate_id"
|
|---|
| 3711 | t "std_logic_vector"
|
|---|
| 3712 | b "(1 downto 0)"
|
|---|
| 3713 | o 9
|
|---|
| 3714 | suid 30,0
|
|---|
| 3715 | )
|
|---|
| 3716 | declText (MLText
|
|---|
| 3717 | uid 1681,0
|
|---|
| 3718 | va (VaSet
|
|---|
| 3719 | font "Courier New,8,0"
|
|---|
| 3720 | )
|
|---|
| 3721 | xt "-85000,24600,-56500,25400"
|
|---|
| 3722 | st "crate_id : std_logic_vector(1 downto 0)"
|
|---|
| 3723 | )
|
|---|
| 3724 | )
|
|---|
| 3725 | *101 (SaComponent
|
|---|
| 3726 | uid 1768,0
|
|---|
| 3727 | optionalChildren [
|
|---|
| 3728 | *102 (CptPort
|
|---|
| 3729 | uid 1760,0
|
|---|
| 3730 | ps "OnEdgeStrategy"
|
|---|
| 3731 | shape (Triangle
|
|---|
| 3732 | uid 1761,0
|
|---|
| 3733 | ro 90
|
|---|
| 3734 | va (VaSet
|
|---|
| 3735 | vasetType 1
|
|---|
| 3736 | fg "0,65535,0"
|
|---|
| 3737 | )
|
|---|
| 3738 | xt "-7000,63625,-6250,64375"
|
|---|
| 3739 | )
|
|---|
| 3740 | tg (CPTG
|
|---|
| 3741 | uid 1762,0
|
|---|
| 3742 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3743 | stg "RightVerticalLayoutStrategy"
|
|---|
| 3744 | f (Text
|
|---|
| 3745 | uid 1763,0
|
|---|
| 3746 | va (VaSet
|
|---|
| 3747 | )
|
|---|
| 3748 | xt "-14800,63500,-8000,64500"
|
|---|
| 3749 | st "trigger_id : (47:0)"
|
|---|
| 3750 | ju 2
|
|---|
| 3751 | blo "-8000,64300"
|
|---|
| 3752 | )
|
|---|
| 3753 | )
|
|---|
| 3754 | thePort (LogicalPort
|
|---|
| 3755 | lang 2
|
|---|
| 3756 | m 1
|
|---|
| 3757 | decl (Decl
|
|---|
| 3758 | n "trigger_id"
|
|---|
| 3759 | t "std_logic_vector"
|
|---|
| 3760 | b "(47 downto 0)"
|
|---|
| 3761 | preAdd 0
|
|---|
| 3762 | posAdd 0
|
|---|
| 3763 | o 1
|
|---|
| 3764 | suid 1,0
|
|---|
| 3765 | )
|
|---|
| 3766 | )
|
|---|
| 3767 | )
|
|---|
| 3768 | *103 (CptPort
|
|---|
| 3769 | uid 1764,0
|
|---|
| 3770 | ps "OnEdgeStrategy"
|
|---|
| 3771 | shape (Triangle
|
|---|
| 3772 | uid 1765,0
|
|---|
| 3773 | ro 90
|
|---|
| 3774 | va (VaSet
|
|---|
| 3775 | vasetType 1
|
|---|
| 3776 | fg "0,65535,0"
|
|---|
| 3777 | )
|
|---|
| 3778 | xt "-18750,63625,-18000,64375"
|
|---|
| 3779 | )
|
|---|
| 3780 | tg (CPTG
|
|---|
| 3781 | uid 1766,0
|
|---|
| 3782 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3783 | stg "VerticalLayoutStrategy"
|
|---|
| 3784 | f (Text
|
|---|
| 3785 | uid 1767,0
|
|---|
| 3786 | va (VaSet
|
|---|
| 3787 | )
|
|---|
| 3788 | xt "-17000,63500,-14200,64500"
|
|---|
| 3789 | st "trigger"
|
|---|
| 3790 | blo "-17000,64300"
|
|---|
| 3791 | )
|
|---|
| 3792 | )
|
|---|
| 3793 | thePort (LogicalPort
|
|---|
| 3794 | lang 2
|
|---|
| 3795 | decl (Decl
|
|---|
| 3796 | n "trigger"
|
|---|
| 3797 | t "std_logic"
|
|---|
| 3798 | preAdd 0
|
|---|
| 3799 | posAdd 0
|
|---|
| 3800 | o 2
|
|---|
| 3801 | suid 2,0
|
|---|
| 3802 | )
|
|---|
| 3803 | )
|
|---|
| 3804 | )
|
|---|
| 3805 | *104 (CptPort
|
|---|
| 3806 | uid 6207,0
|
|---|
| 3807 | ps "OnEdgeStrategy"
|
|---|
| 3808 | shape (Triangle
|
|---|
| 3809 | uid 6208,0
|
|---|
| 3810 | ro 90
|
|---|
| 3811 | va (VaSet
|
|---|
| 3812 | vasetType 1
|
|---|
| 3813 | fg "0,65535,0"
|
|---|
| 3814 | )
|
|---|
| 3815 | xt "-18750,62625,-18000,63375"
|
|---|
| 3816 | )
|
|---|
| 3817 | tg (CPTG
|
|---|
| 3818 | uid 6209,0
|
|---|
| 3819 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3820 | stg "VerticalLayoutStrategy"
|
|---|
| 3821 | f (Text
|
|---|
| 3822 | uid 6210,0
|
|---|
| 3823 | va (VaSet
|
|---|
| 3824 | )
|
|---|
| 3825 | xt "-17000,62500,-15700,63500"
|
|---|
| 3826 | st "clk"
|
|---|
| 3827 | blo "-17000,63300"
|
|---|
| 3828 | )
|
|---|
| 3829 | )
|
|---|
| 3830 | thePort (LogicalPort
|
|---|
| 3831 | lang 2
|
|---|
| 3832 | decl (Decl
|
|---|
| 3833 | n "clk"
|
|---|
| 3834 | t "std_logic"
|
|---|
| 3835 | o 3
|
|---|
| 3836 | suid 3,0
|
|---|
| 3837 | )
|
|---|
| 3838 | )
|
|---|
| 3839 | )
|
|---|
| 3840 | ]
|
|---|
| 3841 | shape (Rectangle
|
|---|
| 3842 | uid 1769,0
|
|---|
| 3843 | va (VaSet
|
|---|
| 3844 | vasetType 1
|
|---|
| 3845 | fg "0,65535,0"
|
|---|
| 3846 | lineColor "0,32896,0"
|
|---|
| 3847 | lineWidth 2
|
|---|
| 3848 | )
|
|---|
| 3849 | xt "-18000,62000,-7000,67000"
|
|---|
| 3850 | )
|
|---|
| 3851 | oxt "32000,2000,43000,12000"
|
|---|
| 3852 | ttg (MlTextGroup
|
|---|
| 3853 | uid 1770,0
|
|---|
| 3854 | ps "CenterOffsetStrategy"
|
|---|
| 3855 | stg "VerticalLayoutStrategy"
|
|---|
| 3856 | textVec [
|
|---|
| 3857 | *105 (Text
|
|---|
| 3858 | uid 1771,0
|
|---|
| 3859 | va (VaSet
|
|---|
| 3860 | font "Arial,8,1"
|
|---|
| 3861 | )
|
|---|
| 3862 | xt "-6300,65000,300,66000"
|
|---|
| 3863 | st "FACT_FAD_LIB"
|
|---|
| 3864 | blo "-6300,65800"
|
|---|
| 3865 | tm "BdLibraryNameMgr"
|
|---|
| 3866 | )
|
|---|
| 3867 | *106 (Text
|
|---|
| 3868 | uid 1772,0
|
|---|
| 3869 | va (VaSet
|
|---|
| 3870 | font "Arial,8,1"
|
|---|
| 3871 | )
|
|---|
| 3872 | xt "-6300,66000,300,67000"
|
|---|
| 3873 | st "trigger_counter"
|
|---|
| 3874 | blo "-6300,66800"
|
|---|
| 3875 | tm "CptNameMgr"
|
|---|
| 3876 | )
|
|---|
| 3877 | *107 (Text
|
|---|
| 3878 | uid 1773,0
|
|---|
| 3879 | va (VaSet
|
|---|
| 3880 | font "Arial,8,1"
|
|---|
| 3881 | )
|
|---|
| 3882 | xt "-6300,67000,1300,68000"
|
|---|
| 3883 | st "I_main_ext_trigger"
|
|---|
| 3884 | blo "-6300,67800"
|
|---|
| 3885 | tm "InstanceNameMgr"
|
|---|
| 3886 | )
|
|---|
| 3887 | ]
|
|---|
| 3888 | )
|
|---|
| 3889 | ga (GenericAssociation
|
|---|
| 3890 | uid 1774,0
|
|---|
| 3891 | ps "EdgeToEdgeStrategy"
|
|---|
| 3892 | matrix (Matrix
|
|---|
| 3893 | uid 1775,0
|
|---|
| 3894 | text (MLText
|
|---|
| 3895 | uid 1776,0
|
|---|
| 3896 | va (VaSet
|
|---|
| 3897 | font "Courier New,8,0"
|
|---|
| 3898 | )
|
|---|
| 3899 | xt "-18000,61000,-18000,61000"
|
|---|
| 3900 | )
|
|---|
| 3901 | header ""
|
|---|
| 3902 | )
|
|---|
| 3903 | elements [
|
|---|
| 3904 | ]
|
|---|
| 3905 | )
|
|---|
| 3906 | viewicon (ZoomableIcon
|
|---|
| 3907 | uid 1777,0
|
|---|
| 3908 | sl 0
|
|---|
| 3909 | va (VaSet
|
|---|
| 3910 | vasetType 1
|
|---|
| 3911 | fg "49152,49152,49152"
|
|---|
| 3912 | )
|
|---|
| 3913 | xt "-17750,65250,-16250,66750"
|
|---|
| 3914 | iconName "VhdlFileViewIcon.png"
|
|---|
| 3915 | iconMaskName "VhdlFileViewIcon.msk"
|
|---|
| 3916 | ftype 10
|
|---|
| 3917 | )
|
|---|
| 3918 | ordering 1
|
|---|
| 3919 | viewiconposition 0
|
|---|
| 3920 | portVis (PortSigDisplay
|
|---|
| 3921 | sIVOD 1
|
|---|
| 3922 | )
|
|---|
| 3923 | archFileType "UNKNOWN"
|
|---|
| 3924 | )
|
|---|
| 3925 | *108 (Net
|
|---|
| 3926 | uid 1981,0
|
|---|
| 3927 | lang 2
|
|---|
| 3928 | decl (Decl
|
|---|
| 3929 | n "trigger_id"
|
|---|
| 3930 | t "std_logic_vector"
|
|---|
| 3931 | b "(47 downto 0)"
|
|---|
| 3932 | preAdd 0
|
|---|
| 3933 | posAdd 0
|
|---|
| 3934 | o 72
|
|---|
| 3935 | suid 34,0
|
|---|
| 3936 | )
|
|---|
| 3937 | declText (MLText
|
|---|
| 3938 | uid 1982,0
|
|---|
| 3939 | va (VaSet
|
|---|
| 3940 | font "Courier New,8,0"
|
|---|
| 3941 | )
|
|---|
| 3942 | xt "-85000,77000,-52500,77800"
|
|---|
| 3943 | st "SIGNAL trigger_id : std_logic_vector(47 downto 0)"
|
|---|
| 3944 | )
|
|---|
| 3945 | )
|
|---|
| 3946 | *109 (Net
|
|---|
| 3947 | uid 2297,0
|
|---|
| 3948 | decl (Decl
|
|---|
| 3949 | n "ram_start_addr"
|
|---|
| 3950 | t "std_logic_vector"
|
|---|
| 3951 | b "(RAMADDRWIDTH64b-1 DOWNTO 0)"
|
|---|
| 3952 | preAdd 0
|
|---|
| 3953 | posAdd 0
|
|---|
| 3954 | o 64
|
|---|
| 3955 | suid 36,0
|
|---|
| 3956 | )
|
|---|
| 3957 | declText (MLText
|
|---|
| 3958 | uid 2298,0
|
|---|
| 3959 | va (VaSet
|
|---|
| 3960 | font "Courier New,8,0"
|
|---|
| 3961 | )
|
|---|
| 3962 | xt "-85000,70600,-45000,71400"
|
|---|
| 3963 | st "SIGNAL ram_start_addr : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
|
|---|
| 3964 | )
|
|---|
| 3965 | )
|
|---|
| 3966 | *110 (SaComponent
|
|---|
| 3967 | uid 2311,0
|
|---|
| 3968 | optionalChildren [
|
|---|
| 3969 | *111 (CptPort
|
|---|
| 3970 | uid 2307,0
|
|---|
| 3971 | ps "OnEdgeStrategy"
|
|---|
| 3972 | shape (Triangle
|
|---|
| 3973 | uid 2308,0
|
|---|
| 3974 | ro 270
|
|---|
| 3975 | va (VaSet
|
|---|
| 3976 | vasetType 1
|
|---|
| 3977 | fg "0,65535,0"
|
|---|
| 3978 | )
|
|---|
| 3979 | xt "71250,69625,72000,70375"
|
|---|
| 3980 | )
|
|---|
| 3981 | tg (CPTG
|
|---|
| 3982 | uid 2309,0
|
|---|
| 3983 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3984 | stg "VerticalLayoutStrategy"
|
|---|
| 3985 | f (Text
|
|---|
| 3986 | uid 2310,0
|
|---|
| 3987 | va (VaSet
|
|---|
| 3988 | font "arial,8,0"
|
|---|
| 3989 | )
|
|---|
| 3990 | xt "73000,69500,91400,70500"
|
|---|
| 3991 | st "ram_start_addr : (RAM_ADDR_WIDTH_64B-1:0)"
|
|---|
| 3992 | blo "73000,70300"
|
|---|
| 3993 | )
|
|---|
| 3994 | )
|
|---|
| 3995 | thePort (LogicalPort
|
|---|
| 3996 | lang 2
|
|---|
| 3997 | m 1
|
|---|
| 3998 | decl (Decl
|
|---|
| 3999 | n "ram_start_addr"
|
|---|
| 4000 | t "std_logic_vector"
|
|---|
| 4001 | b "(RAM_ADDR_WIDTH_64B-1 DOWNTO 0)"
|
|---|
| 4002 | preAdd 0
|
|---|
| 4003 | posAdd 0
|
|---|
| 4004 | o 18
|
|---|
| 4005 | suid 1,0
|
|---|
| 4006 | i "(others => '0')"
|
|---|
| 4007 | )
|
|---|
| 4008 | )
|
|---|
| 4009 | )
|
|---|
| 4010 | *112 (CptPort
|
|---|
| 4011 | uid 2351,0
|
|---|
| 4012 | ps "OnEdgeStrategy"
|
|---|
| 4013 | shape (Triangle
|
|---|
| 4014 | uid 2352,0
|
|---|
| 4015 | ro 90
|
|---|
| 4016 | va (VaSet
|
|---|
| 4017 | vasetType 1
|
|---|
| 4018 | fg "0,65535,0"
|
|---|
| 4019 | )
|
|---|
| 4020 | xt "71250,67625,72000,68375"
|
|---|
| 4021 | )
|
|---|
| 4022 | tg (CPTG
|
|---|
| 4023 | uid 2353,0
|
|---|
| 4024 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4025 | stg "VerticalLayoutStrategy"
|
|---|
| 4026 | f (Text
|
|---|
| 4027 | uid 2354,0
|
|---|
| 4028 | va (VaSet
|
|---|
| 4029 | font "arial,8,0"
|
|---|
| 4030 | )
|
|---|
| 4031 | xt "73000,67500,74300,68500"
|
|---|
| 4032 | st "clk"
|
|---|
| 4033 | blo "73000,68300"
|
|---|
| 4034 | )
|
|---|
| 4035 | )
|
|---|
| 4036 | thePort (LogicalPort
|
|---|
| 4037 | lang 2
|
|---|
| 4038 | decl (Decl
|
|---|
| 4039 | n "clk"
|
|---|
| 4040 | t "std_logic"
|
|---|
| 4041 | o 1
|
|---|
| 4042 | suid 2,0
|
|---|
| 4043 | )
|
|---|
| 4044 | )
|
|---|
| 4045 | )
|
|---|
| 4046 | *113 (CptPort
|
|---|
| 4047 | uid 2361,0
|
|---|
| 4048 | ps "OnEdgeStrategy"
|
|---|
| 4049 | shape (Triangle
|
|---|
| 4050 | uid 2362,0
|
|---|
| 4051 | ro 270
|
|---|
| 4052 | va (VaSet
|
|---|
| 4053 | vasetType 1
|
|---|
| 4054 | fg "0,65535,0"
|
|---|
| 4055 | )
|
|---|
| 4056 | xt "71250,76625,72000,77375"
|
|---|
| 4057 | )
|
|---|
| 4058 | tg (CPTG
|
|---|
| 4059 | uid 2363,0
|
|---|
| 4060 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4061 | stg "VerticalLayoutStrategy"
|
|---|
| 4062 | f (Text
|
|---|
| 4063 | uid 2364,0
|
|---|
| 4064 | va (VaSet
|
|---|
| 4065 | font "arial,8,0"
|
|---|
| 4066 | )
|
|---|
| 4067 | xt "73000,76500,78100,77500"
|
|---|
| 4068 | st "config_ready"
|
|---|
| 4069 | blo "73000,77300"
|
|---|
| 4070 | )
|
|---|
| 4071 | )
|
|---|
| 4072 | thePort (LogicalPort
|
|---|
| 4073 | lang 2
|
|---|
| 4074 | m 1
|
|---|
| 4075 | decl (Decl
|
|---|
| 4076 | n "config_ready"
|
|---|
| 4077 | t "std_logic"
|
|---|
| 4078 | o 6
|
|---|
| 4079 | suid 5,0
|
|---|
| 4080 | i "'0'"
|
|---|
| 4081 | )
|
|---|
| 4082 | )
|
|---|
| 4083 | )
|
|---|
| 4084 | *114 (CptPort
|
|---|
| 4085 | uid 2365,0
|
|---|
| 4086 | ps "OnEdgeStrategy"
|
|---|
| 4087 | shape (Triangle
|
|---|
| 4088 | uid 2366,0
|
|---|
| 4089 | ro 90
|
|---|
| 4090 | va (VaSet
|
|---|
| 4091 | vasetType 1
|
|---|
| 4092 | fg "0,65535,0"
|
|---|
| 4093 | )
|
|---|
| 4094 | xt "71250,74625,72000,75375"
|
|---|
| 4095 | )
|
|---|
| 4096 | tg (CPTG
|
|---|
| 4097 | uid 2367,0
|
|---|
| 4098 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4099 | stg "VerticalLayoutStrategy"
|
|---|
| 4100 | f (Text
|
|---|
| 4101 | uid 2368,0
|
|---|
| 4102 | va (VaSet
|
|---|
| 4103 | font "arial,8,0"
|
|---|
| 4104 | )
|
|---|
| 4105 | xt "73000,74500,77800,75500"
|
|---|
| 4106 | st "config_start"
|
|---|
| 4107 | blo "73000,75300"
|
|---|
| 4108 | )
|
|---|
| 4109 | )
|
|---|
| 4110 | thePort (LogicalPort
|
|---|
| 4111 | lang 2
|
|---|
| 4112 | decl (Decl
|
|---|
| 4113 | n "config_start"
|
|---|
| 4114 | t "std_logic"
|
|---|
| 4115 | o 2
|
|---|
| 4116 | suid 3,0
|
|---|
| 4117 | )
|
|---|
| 4118 | )
|
|---|
| 4119 | )
|
|---|
| 4120 | *115 (CptPort
|
|---|
| 4121 | uid 2369,0
|
|---|
| 4122 | ps "OnEdgeStrategy"
|
|---|
| 4123 | shape (Triangle
|
|---|
| 4124 | uid 2370,0
|
|---|
| 4125 | ro 270
|
|---|
| 4126 | va (VaSet
|
|---|
| 4127 | vasetType 1
|
|---|
| 4128 | fg "0,65535,0"
|
|---|
| 4129 | )
|
|---|
| 4130 | xt "71250,70625,72000,71375"
|
|---|
| 4131 | )
|
|---|
| 4132 | tg (CPTG
|
|---|
| 4133 | uid 2371,0
|
|---|
| 4134 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4135 | stg "VerticalLayoutStrategy"
|
|---|
| 4136 | f (Text
|
|---|
| 4137 | uid 2372,0
|
|---|
| 4138 | va (VaSet
|
|---|
| 4139 | font "arial,8,0"
|
|---|
| 4140 | )
|
|---|
| 4141 | xt "73000,70500,78300,71500"
|
|---|
| 4142 | st "ram_write_ea"
|
|---|
| 4143 | blo "73000,71300"
|
|---|
| 4144 | )
|
|---|
| 4145 | )
|
|---|
| 4146 | thePort (LogicalPort
|
|---|
| 4147 | lang 2
|
|---|
| 4148 | m 1
|
|---|
| 4149 | decl (Decl
|
|---|
| 4150 | n "ram_write_ea"
|
|---|
| 4151 | t "std_logic"
|
|---|
| 4152 | o 5
|
|---|
| 4153 | suid 4,0
|
|---|
| 4154 | i "'0'"
|
|---|
| 4155 | )
|
|---|
| 4156 | )
|
|---|
| 4157 | )
|
|---|
| 4158 | *116 (CptPort
|
|---|
| 4159 | uid 2373,0
|
|---|
| 4160 | ps "OnEdgeStrategy"
|
|---|
| 4161 | shape (Triangle
|
|---|
| 4162 | uid 2374,0
|
|---|
| 4163 | ro 90
|
|---|
| 4164 | va (VaSet
|
|---|
| 4165 | vasetType 1
|
|---|
| 4166 | fg "0,65535,0"
|
|---|
| 4167 | )
|
|---|
| 4168 | xt "71250,71625,72000,72375"
|
|---|
| 4169 | )
|
|---|
| 4170 | tg (CPTG
|
|---|
| 4171 | uid 2375,0
|
|---|
| 4172 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4173 | stg "VerticalLayoutStrategy"
|
|---|
| 4174 | f (Text
|
|---|
| 4175 | uid 2376,0
|
|---|
| 4176 | va (VaSet
|
|---|
| 4177 | font "arial,8,0"
|
|---|
| 4178 | )
|
|---|
| 4179 | xt "73000,71500,79300,72500"
|
|---|
| 4180 | st "ram_write_ready"
|
|---|
| 4181 | blo "73000,72300"
|
|---|
| 4182 | )
|
|---|
| 4183 | )
|
|---|
| 4184 | thePort (LogicalPort
|
|---|
| 4185 | lang 2
|
|---|
| 4186 | decl (Decl
|
|---|
| 4187 | n "ram_write_ready"
|
|---|
| 4188 | t "std_logic"
|
|---|
| 4189 | o 3
|
|---|
| 4190 | suid 12,0
|
|---|
| 4191 | )
|
|---|
| 4192 | )
|
|---|
| 4193 | )
|
|---|
| 4194 | *117 (CptPort
|
|---|
| 4195 | uid 2377,0
|
|---|
| 4196 | ps "OnEdgeStrategy"
|
|---|
| 4197 | shape (Triangle
|
|---|
| 4198 | uid 2378,0
|
|---|
| 4199 | ro 270
|
|---|
| 4200 | va (VaSet
|
|---|
| 4201 | vasetType 1
|
|---|
| 4202 | fg "0,65535,0"
|
|---|
| 4203 | )
|
|---|
| 4204 | xt "71250,77625,72000,78375"
|
|---|
| 4205 | )
|
|---|
| 4206 | tg (CPTG
|
|---|
| 4207 | uid 2379,0
|
|---|
| 4208 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4209 | stg "VerticalLayoutStrategy"
|
|---|
| 4210 | f (Text
|
|---|
| 4211 | uid 2380,0
|
|---|
| 4212 | va (VaSet
|
|---|
| 4213 | font "arial,8,0"
|
|---|
| 4214 | )
|
|---|
| 4215 | xt "73000,77500,76000,78500"
|
|---|
| 4216 | st "roi_max"
|
|---|
| 4217 | blo "73000,78300"
|
|---|
| 4218 | )
|
|---|
| 4219 | )
|
|---|
| 4220 | thePort (LogicalPort
|
|---|
| 4221 | lang 2
|
|---|
| 4222 | m 1
|
|---|
| 4223 | decl (Decl
|
|---|
| 4224 | n "roi_max"
|
|---|
| 4225 | t "roi_max_type"
|
|---|
| 4226 | posAdd 0
|
|---|
| 4227 | o 8
|
|---|
| 4228 | suid 14,0
|
|---|
| 4229 | i "(others => conv_std_logic_vector (0, 11))"
|
|---|
| 4230 | )
|
|---|
| 4231 | )
|
|---|
| 4232 | )
|
|---|
| 4233 | *118 (CptPort
|
|---|
| 4234 | uid 2381,0
|
|---|
| 4235 | ps "OnEdgeStrategy"
|
|---|
| 4236 | shape (Triangle
|
|---|
| 4237 | uid 2382,0
|
|---|
| 4238 | ro 270
|
|---|
| 4239 | va (VaSet
|
|---|
| 4240 | vasetType 1
|
|---|
| 4241 | fg "0,65535,0"
|
|---|
| 4242 | )
|
|---|
| 4243 | xt "103000,67625,103750,68375"
|
|---|
| 4244 | )
|
|---|
| 4245 | tg (CPTG
|
|---|
| 4246 | uid 2383,0
|
|---|
| 4247 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4248 | stg "RightVerticalLayoutStrategy"
|
|---|
| 4249 | f (Text
|
|---|
| 4250 | uid 2384,0
|
|---|
| 4251 | va (VaSet
|
|---|
| 4252 | font "arial,8,0"
|
|---|
| 4253 | )
|
|---|
| 4254 | xt "98600,67500,102000,68500"
|
|---|
| 4255 | st "wiz_busy"
|
|---|
| 4256 | ju 2
|
|---|
| 4257 | blo "102000,68300"
|
|---|
| 4258 | )
|
|---|
| 4259 | )
|
|---|
| 4260 | thePort (LogicalPort
|
|---|
| 4261 | lang 2
|
|---|
| 4262 | decl (Decl
|
|---|
| 4263 | n "wiz_busy"
|
|---|
| 4264 | t "std_logic"
|
|---|
| 4265 | o 16
|
|---|
| 4266 | suid 13,0
|
|---|
| 4267 | )
|
|---|
| 4268 | )
|
|---|
| 4269 | )
|
|---|
| 4270 | *119 (CptPort
|
|---|
| 4271 | uid 2385,0
|
|---|
| 4272 | ps "OnEdgeStrategy"
|
|---|
| 4273 | shape (Triangle
|
|---|
| 4274 | uid 2386,0
|
|---|
| 4275 | ro 90
|
|---|
| 4276 | va (VaSet
|
|---|
| 4277 | vasetType 1
|
|---|
| 4278 | fg "0,65535,0"
|
|---|
| 4279 | )
|
|---|
| 4280 | xt "103000,71625,103750,72375"
|
|---|
| 4281 | )
|
|---|
| 4282 | tg (CPTG
|
|---|
| 4283 | uid 2387,0
|
|---|
| 4284 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4285 | stg "RightVerticalLayoutStrategy"
|
|---|
| 4286 | f (Text
|
|---|
| 4287 | uid 2388,0
|
|---|
| 4288 | va (VaSet
|
|---|
| 4289 | font "arial,8,0"
|
|---|
| 4290 | )
|
|---|
| 4291 | xt "90200,71500,102000,72500"
|
|---|
| 4292 | st "wiz_number_of_channels : (3:0)"
|
|---|
| 4293 | ju 2
|
|---|
| 4294 | blo "102000,72300"
|
|---|
| 4295 | )
|
|---|
| 4296 | )
|
|---|
| 4297 | thePort (LogicalPort
|
|---|
| 4298 | lang 2
|
|---|
| 4299 | m 1
|
|---|
| 4300 | decl (Decl
|
|---|
| 4301 | n "wiz_number_of_channels"
|
|---|
| 4302 | t "std_logic_vector"
|
|---|
| 4303 | b "(3 downto 0)"
|
|---|
| 4304 | o 12
|
|---|
| 4305 | suid 6,0
|
|---|
| 4306 | i "(others => '0')"
|
|---|
| 4307 | )
|
|---|
| 4308 | )
|
|---|
| 4309 | )
|
|---|
| 4310 | *120 (CptPort
|
|---|
| 4311 | uid 2389,0
|
|---|
| 4312 | ps "OnEdgeStrategy"
|
|---|
| 4313 | shape (Triangle
|
|---|
| 4314 | uid 2390,0
|
|---|
| 4315 | ro 90
|
|---|
| 4316 | va (VaSet
|
|---|
| 4317 | vasetType 1
|
|---|
| 4318 | fg "0,65535,0"
|
|---|
| 4319 | )
|
|---|
| 4320 | xt "103000,70625,103750,71375"
|
|---|
| 4321 | )
|
|---|
| 4322 | tg (CPTG
|
|---|
| 4323 | uid 2391,0
|
|---|
| 4324 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4325 | stg "RightVerticalLayoutStrategy"
|
|---|
| 4326 | f (Text
|
|---|
| 4327 | uid 2392,0
|
|---|
| 4328 | va (VaSet
|
|---|
| 4329 | font "arial,8,0"
|
|---|
| 4330 | )
|
|---|
| 4331 | xt "82100,70500,102000,71500"
|
|---|
| 4332 | st "wiz_ram_start_addr : (RAM_ADDR_WIDTH_16B-1:0)"
|
|---|
| 4333 | ju 2
|
|---|
| 4334 | blo "102000,71300"
|
|---|
| 4335 | )
|
|---|
| 4336 | )
|
|---|
| 4337 | thePort (LogicalPort
|
|---|
| 4338 | lang 2
|
|---|
| 4339 | m 1
|
|---|
| 4340 | decl (Decl
|
|---|
| 4341 | n "wiz_ram_start_addr"
|
|---|
| 4342 | t "std_logic_vector"
|
|---|
| 4343 | b "(RAM_ADDR_WIDTH_16B-1 downto 0)"
|
|---|
| 4344 | preAdd 0
|
|---|
| 4345 | o 10
|
|---|
| 4346 | suid 7,0
|
|---|
| 4347 | i "(others => '0')"
|
|---|
| 4348 | )
|
|---|
| 4349 | )
|
|---|
| 4350 | )
|
|---|
| 4351 | *121 (CptPort
|
|---|
| 4352 | uid 2393,0
|
|---|
| 4353 | ps "OnEdgeStrategy"
|
|---|
| 4354 | shape (Triangle
|
|---|
| 4355 | uid 2394,0
|
|---|
| 4356 | ro 90
|
|---|
| 4357 | va (VaSet
|
|---|
| 4358 | vasetType 1
|
|---|
| 4359 | fg "0,65535,0"
|
|---|
| 4360 | )
|
|---|
| 4361 | xt "103000,68625,103750,69375"
|
|---|
| 4362 | )
|
|---|
| 4363 | tg (CPTG
|
|---|
| 4364 | uid 2395,0
|
|---|
| 4365 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4366 | stg "RightVerticalLayoutStrategy"
|
|---|
| 4367 | f (Text
|
|---|
| 4368 | uid 2396,0
|
|---|
| 4369 | va (VaSet
|
|---|
| 4370 | font "arial,8,0"
|
|---|
| 4371 | )
|
|---|
| 4372 | xt "96900,68500,102000,69500"
|
|---|
| 4373 | st "wiz_write_ea"
|
|---|
| 4374 | ju 2
|
|---|
| 4375 | blo "102000,69300"
|
|---|
| 4376 | )
|
|---|
| 4377 | )
|
|---|
| 4378 | thePort (LogicalPort
|
|---|
| 4379 | lang 2
|
|---|
| 4380 | m 1
|
|---|
| 4381 | decl (Decl
|
|---|
| 4382 | n "wiz_write_ea"
|
|---|
| 4383 | t "std_logic"
|
|---|
| 4384 | o 13
|
|---|
| 4385 | suid 8,0
|
|---|
| 4386 | i "'0'"
|
|---|
| 4387 | )
|
|---|
| 4388 | )
|
|---|
| 4389 | )
|
|---|
| 4390 | *122 (CptPort
|
|---|
| 4391 | uid 2397,0
|
|---|
| 4392 | ps "OnEdgeStrategy"
|
|---|
| 4393 | shape (Triangle
|
|---|
| 4394 | uid 2398,0
|
|---|
| 4395 | ro 90
|
|---|
| 4396 | va (VaSet
|
|---|
| 4397 | vasetType 1
|
|---|
| 4398 | fg "0,65535,0"
|
|---|
| 4399 | )
|
|---|
| 4400 | xt "103000,72625,103750,73375"
|
|---|
| 4401 | )
|
|---|
| 4402 | tg (CPTG
|
|---|
| 4403 | uid 2399,0
|
|---|
| 4404 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4405 | stg "RightVerticalLayoutStrategy"
|
|---|
| 4406 | f (Text
|
|---|
| 4407 | uid 2400,0
|
|---|
| 4408 | va (VaSet
|
|---|
| 4409 | font "arial,8,0"
|
|---|
| 4410 | )
|
|---|
| 4411 | xt "96500,72500,102000,73500"
|
|---|
| 4412 | st "wiz_write_end"
|
|---|
| 4413 | ju 2
|
|---|
| 4414 | blo "102000,73300"
|
|---|
| 4415 | )
|
|---|
| 4416 | )
|
|---|
| 4417 | thePort (LogicalPort
|
|---|
| 4418 | lang 2
|
|---|
| 4419 | m 1
|
|---|
| 4420 | decl (Decl
|
|---|
| 4421 | n "wiz_write_end"
|
|---|
| 4422 | t "std_logic"
|
|---|
| 4423 | o 15
|
|---|
| 4424 | suid 9,0
|
|---|
| 4425 | i "'0'"
|
|---|
| 4426 | )
|
|---|
| 4427 | )
|
|---|
| 4428 | )
|
|---|
| 4429 | *123 (CptPort
|
|---|
| 4430 | uid 2401,0
|
|---|
| 4431 | ps "OnEdgeStrategy"
|
|---|
| 4432 | shape (Triangle
|
|---|
| 4433 | uid 2402,0
|
|---|
| 4434 | ro 90
|
|---|
| 4435 | va (VaSet
|
|---|
| 4436 | vasetType 1
|
|---|
| 4437 | fg "0,65535,0"
|
|---|
| 4438 | )
|
|---|
| 4439 | xt "103000,73625,103750,74375"
|
|---|
| 4440 | )
|
|---|
| 4441 | tg (CPTG
|
|---|
| 4442 | uid 2403,0
|
|---|
| 4443 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4444 | stg "RightVerticalLayoutStrategy"
|
|---|
| 4445 | f (Text
|
|---|
| 4446 | uid 2404,0
|
|---|
| 4447 | va (VaSet
|
|---|
| 4448 | font "arial,8,0"
|
|---|
| 4449 | )
|
|---|
| 4450 | xt "95400,73500,102000,74500"
|
|---|
| 4451 | st "wiz_write_header"
|
|---|
| 4452 | ju 2
|
|---|
| 4453 | blo "102000,74300"
|
|---|
| 4454 | )
|
|---|
| 4455 | )
|
|---|
| 4456 | thePort (LogicalPort
|
|---|
| 4457 | lang 2
|
|---|
| 4458 | m 1
|
|---|
| 4459 | decl (Decl
|
|---|
| 4460 | n "wiz_write_header"
|
|---|
| 4461 | t "std_logic"
|
|---|
| 4462 | o 14
|
|---|
| 4463 | suid 10,0
|
|---|
| 4464 | i "'0'"
|
|---|
| 4465 | )
|
|---|
| 4466 | )
|
|---|
| 4467 | )
|
|---|
| 4468 | *124 (CptPort
|
|---|
| 4469 | uid 2405,0
|
|---|
| 4470 | ps "OnEdgeStrategy"
|
|---|
| 4471 | shape (Triangle
|
|---|
| 4472 | uid 2406,0
|
|---|
| 4473 | ro 90
|
|---|
| 4474 | va (VaSet
|
|---|
| 4475 | vasetType 1
|
|---|
| 4476 | fg "0,65535,0"
|
|---|
| 4477 | )
|
|---|
| 4478 | xt "103000,69625,103750,70375"
|
|---|
| 4479 | )
|
|---|
| 4480 | tg (CPTG
|
|---|
| 4481 | uid 2407,0
|
|---|
| 4482 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4483 | stg "RightVerticalLayoutStrategy"
|
|---|
| 4484 | f (Text
|
|---|
| 4485 | uid 2408,0
|
|---|
| 4486 | va (VaSet
|
|---|
| 4487 | font "arial,8,0"
|
|---|
| 4488 | )
|
|---|
| 4489 | xt "92600,69500,102000,70500"
|
|---|
| 4490 | st "wiz_write_length : (16:0)"
|
|---|
| 4491 | ju 2
|
|---|
| 4492 | blo "102000,70300"
|
|---|
| 4493 | )
|
|---|
| 4494 | )
|
|---|
| 4495 | thePort (LogicalPort
|
|---|
| 4496 | lang 2
|
|---|
| 4497 | m 1
|
|---|
| 4498 | decl (Decl
|
|---|
| 4499 | n "wiz_write_length"
|
|---|
| 4500 | t "std_logic_vector"
|
|---|
| 4501 | b "(16 downto 0)"
|
|---|
| 4502 | o 11
|
|---|
| 4503 | suid 11,0
|
|---|
| 4504 | i "(others => '0')"
|
|---|
| 4505 | )
|
|---|
| 4506 | )
|
|---|
| 4507 | )
|
|---|
| 4508 | *125 (CptPort
|
|---|
| 4509 | uid 2454,0
|
|---|
| 4510 | ps "OnEdgeStrategy"
|
|---|
| 4511 | shape (Triangle
|
|---|
| 4512 | uid 2455,0
|
|---|
| 4513 | ro 90
|
|---|
| 4514 | va (VaSet
|
|---|
| 4515 | vasetType 1
|
|---|
| 4516 | fg "0,65535,0"
|
|---|
| 4517 | )
|
|---|
| 4518 | xt "71250,84625,72000,85375"
|
|---|
| 4519 | )
|
|---|
| 4520 | tg (CPTG
|
|---|
| 4521 | uid 2456,0
|
|---|
| 4522 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4523 | stg "VerticalLayoutStrategy"
|
|---|
| 4524 | f (Text
|
|---|
| 4525 | uid 2457,0
|
|---|
| 4526 | va (VaSet
|
|---|
| 4527 | font "arial,8,0"
|
|---|
| 4528 | )
|
|---|
| 4529 | xt "73000,84500,76400,85500"
|
|---|
| 4530 | st "roi_array"
|
|---|
| 4531 | blo "73000,85300"
|
|---|
| 4532 | )
|
|---|
| 4533 | )
|
|---|
| 4534 | thePort (LogicalPort
|
|---|
| 4535 | lang 2
|
|---|
| 4536 | decl (Decl
|
|---|
| 4537 | n "roi_array"
|
|---|
| 4538 | t "roi_array_type"
|
|---|
| 4539 | o 4
|
|---|
| 4540 | suid 15,0
|
|---|
| 4541 | )
|
|---|
| 4542 | )
|
|---|
| 4543 | )
|
|---|
| 4544 | *126 (CptPort
|
|---|
| 4545 | uid 2628,0
|
|---|
| 4546 | ps "OnEdgeStrategy"
|
|---|
| 4547 | shape (Triangle
|
|---|
| 4548 | uid 2629,0
|
|---|
| 4549 | ro 270
|
|---|
| 4550 | va (VaSet
|
|---|
| 4551 | vasetType 1
|
|---|
| 4552 | fg "0,65535,0"
|
|---|
| 4553 | )
|
|---|
| 4554 | xt "71250,78625,72000,79375"
|
|---|
| 4555 | )
|
|---|
| 4556 | tg (CPTG
|
|---|
| 4557 | uid 2630,0
|
|---|
| 4558 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4559 | stg "VerticalLayoutStrategy"
|
|---|
| 4560 | f (Text
|
|---|
| 4561 | uid 2631,0
|
|---|
| 4562 | va (VaSet
|
|---|
| 4563 | font "arial,8,0"
|
|---|
| 4564 | )
|
|---|
| 4565 | xt "73000,78500,82100,79500"
|
|---|
| 4566 | st "package_length : (15:0)"
|
|---|
| 4567 | blo "73000,79300"
|
|---|
| 4568 | )
|
|---|
| 4569 | )
|
|---|
| 4570 | thePort (LogicalPort
|
|---|
| 4571 | lang 2
|
|---|
| 4572 | m 1
|
|---|
| 4573 | decl (Decl
|
|---|
| 4574 | n "package_length"
|
|---|
| 4575 | t "std_logic_vector"
|
|---|
| 4576 | b "(15 downto 0)"
|
|---|
| 4577 | o 9
|
|---|
| 4578 | suid 18,0
|
|---|
| 4579 | i "(others => '0')"
|
|---|
| 4580 | )
|
|---|
| 4581 | )
|
|---|
| 4582 | )
|
|---|
| 4583 | *127 (CptPort
|
|---|
| 4584 | uid 5991,0
|
|---|
| 4585 | ps "OnEdgeStrategy"
|
|---|
| 4586 | shape (Triangle
|
|---|
| 4587 | uid 5992,0
|
|---|
| 4588 | ro 270
|
|---|
| 4589 | va (VaSet
|
|---|
| 4590 | vasetType 1
|
|---|
| 4591 | fg "0,65535,0"
|
|---|
| 4592 | )
|
|---|
| 4593 | xt "71250,75625,72000,76375"
|
|---|
| 4594 | )
|
|---|
| 4595 | tg (CPTG
|
|---|
| 4596 | uid 5993,0
|
|---|
| 4597 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4598 | stg "VerticalLayoutStrategy"
|
|---|
| 4599 | f (Text
|
|---|
| 4600 | uid 5994,0
|
|---|
| 4601 | va (VaSet
|
|---|
| 4602 | font "arial,8,0"
|
|---|
| 4603 | )
|
|---|
| 4604 | xt "73000,75500,78600,76500"
|
|---|
| 4605 | st "config_started"
|
|---|
| 4606 | blo "73000,76300"
|
|---|
| 4607 | )
|
|---|
| 4608 | )
|
|---|
| 4609 | thePort (LogicalPort
|
|---|
| 4610 | lang 2
|
|---|
| 4611 | m 1
|
|---|
| 4612 | decl (Decl
|
|---|
| 4613 | n "config_started"
|
|---|
| 4614 | t "std_logic"
|
|---|
| 4615 | o 7
|
|---|
| 4616 | suid 21,0
|
|---|
| 4617 | i "'0'"
|
|---|
| 4618 | )
|
|---|
| 4619 | )
|
|---|
| 4620 | )
|
|---|
| 4621 | *128 (CptPort
|
|---|
| 4622 | uid 8410,0
|
|---|
| 4623 | ps "OnEdgeStrategy"
|
|---|
| 4624 | shape (Triangle
|
|---|
| 4625 | uid 8411,0
|
|---|
| 4626 | ro 270
|
|---|
| 4627 | va (VaSet
|
|---|
| 4628 | vasetType 1
|
|---|
| 4629 | fg "0,65535,0"
|
|---|
| 4630 | )
|
|---|
| 4631 | xt "103000,74625,103750,75375"
|
|---|
| 4632 | )
|
|---|
| 4633 | tg (CPTG
|
|---|
| 4634 | uid 8412,0
|
|---|
| 4635 | ps "CptPortTextPlaceStrategy"
|
|---|
| 4636 | stg "RightVerticalLayoutStrategy"
|
|---|
| 4637 | f (Text
|
|---|
| 4638 | uid 8413,0
|
|---|
| 4639 | va (VaSet
|
|---|
| 4640 | font "arial,8,0"
|
|---|
| 4641 | )
|
|---|
| 4642 | xt "99000,74500,102000,75500"
|
|---|
| 4643 | st "wiz_ack"
|
|---|
| 4644 | ju 2
|
|---|
| 4645 | blo "102000,75300"
|
|---|
| 4646 | )
|
|---|
| 4647 | )
|
|---|
| 4648 | thePort (LogicalPort
|
|---|
| 4649 | lang 2
|
|---|
| 4650 | decl (Decl
|
|---|
| 4651 | n "wiz_ack"
|
|---|
| 4652 | t "std_logic"
|
|---|
| 4653 | o 17
|
|---|
| 4654 | suid 22,0
|
|---|
| 4655 | )
|
|---|
| 4656 | )
|
|---|
| 4657 | )
|
|---|
| 4658 | ]
|
|---|
| 4659 | shape (Rectangle
|
|---|
| 4660 | uid 2312,0
|
|---|
| 4661 | va (VaSet
|
|---|
| 4662 | vasetType 1
|
|---|
| 4663 | fg "0,65535,0"
|
|---|
| 4664 | lineColor "0,32896,0"
|
|---|
| 4665 | lineWidth 2
|
|---|
| 4666 | )
|
|---|
| 4667 | xt "72000,67000,103000,87000"
|
|---|
| 4668 | )
|
|---|
| 4669 | oxt "15000,6000,23000,16000"
|
|---|
| 4670 | ttg (MlTextGroup
|
|---|
| 4671 | uid 2313,0
|
|---|
| 4672 | ps "CenterOffsetStrategy"
|
|---|
| 4673 | stg "VerticalLayoutStrategy"
|
|---|
| 4674 | textVec [
|
|---|
| 4675 | *129 (Text
|
|---|
| 4676 | uid 2314,0
|
|---|
| 4677 | va (VaSet
|
|---|
| 4678 | font "arial,8,1"
|
|---|
| 4679 | )
|
|---|
| 4680 | xt "72350,87000,78550,88000"
|
|---|
| 4681 | st "FACT_FAD_lib"
|
|---|
| 4682 | blo "72350,87800"
|
|---|
| 4683 | tm "BdLibraryNameMgr"
|
|---|
| 4684 | )
|
|---|
| 4685 | *130 (Text
|
|---|
| 4686 | uid 2315,0
|
|---|
| 4687 | va (VaSet
|
|---|
| 4688 | font "arial,8,1"
|
|---|
| 4689 | )
|
|---|
| 4690 | xt "72350,88000,79650,89000"
|
|---|
| 4691 | st "memory_manager"
|
|---|
| 4692 | blo "72350,88800"
|
|---|
| 4693 | tm "CptNameMgr"
|
|---|
| 4694 | )
|
|---|
| 4695 | *131 (Text
|
|---|
| 4696 | uid 2316,0
|
|---|
| 4697 | va (VaSet
|
|---|
| 4698 | font "arial,8,1"
|
|---|
| 4699 | )
|
|---|
| 4700 | xt "72350,89000,82850,90000"
|
|---|
| 4701 | st "I_main_memory_manager"
|
|---|
| 4702 | blo "72350,89800"
|
|---|
| 4703 | tm "InstanceNameMgr"
|
|---|
| 4704 | )
|
|---|
| 4705 | ]
|
|---|
| 4706 | )
|
|---|
| 4707 | ga (GenericAssociation
|
|---|
| 4708 | uid 2317,0
|
|---|
| 4709 | ps "EdgeToEdgeStrategy"
|
|---|
| 4710 | matrix (Matrix
|
|---|
| 4711 | uid 2318,0
|
|---|
| 4712 | text (MLText
|
|---|
| 4713 | uid 2319,0
|
|---|
| 4714 | va (VaSet
|
|---|
| 4715 | font "Courier New,8,0"
|
|---|
| 4716 | )
|
|---|
| 4717 | xt "72000,65400,101500,67000"
|
|---|
| 4718 | st "RAM_ADDR_WIDTH_64B = RAMADDRWIDTH64b ( integer )
|
|---|
| 4719 | RAM_ADDR_WIDTH_16B = RAMADDRWIDTH64b+2 ( integer ) "
|
|---|
| 4720 | )
|
|---|
| 4721 | header ""
|
|---|
| 4722 | )
|
|---|
| 4723 | elements [
|
|---|
| 4724 | (GiElement
|
|---|
| 4725 | name "RAM_ADDR_WIDTH_64B"
|
|---|
| 4726 | type "integer"
|
|---|
| 4727 | value "RAMADDRWIDTH64b"
|
|---|
| 4728 | )
|
|---|
| 4729 | (GiElement
|
|---|
| 4730 | name "RAM_ADDR_WIDTH_16B"
|
|---|
| 4731 | type "integer"
|
|---|
| 4732 | value "RAMADDRWIDTH64b+2"
|
|---|
| 4733 | )
|
|---|
| 4734 | ]
|
|---|
| 4735 | )
|
|---|
| 4736 | viewicon (ZoomableIcon
|
|---|
| 4737 | uid 2320,0
|
|---|
| 4738 | sl 0
|
|---|
| 4739 | va (VaSet
|
|---|
| 4740 | vasetType 1
|
|---|
| 4741 | fg "49152,49152,49152"
|
|---|
| 4742 | )
|
|---|
| 4743 | xt "72250,85250,73750,86750"
|
|---|
| 4744 | iconName "VhdlFileViewIcon.png"
|
|---|
| 4745 | iconMaskName "VhdlFileViewIcon.msk"
|
|---|
| 4746 | ftype 10
|
|---|
| 4747 | )
|
|---|
| 4748 | ordering 1
|
|---|
| 4749 | viewiconposition 0
|
|---|
| 4750 | portVis (PortSigDisplay
|
|---|
| 4751 | )
|
|---|
| 4752 | archFileType "UNKNOWN"
|
|---|
| 4753 | )
|
|---|
| 4754 | *132 (Net
|
|---|
| 4755 | uid 2468,0
|
|---|
| 4756 | lang 2
|
|---|
| 4757 | decl (Decl
|
|---|
| 4758 | n "wiz_busy"
|
|---|
| 4759 | t "std_logic"
|
|---|
| 4760 | o 73
|
|---|
| 4761 | suid 38,0
|
|---|
| 4762 | )
|
|---|
| 4763 | declText (MLText
|
|---|
| 4764 | uid 2469,0
|
|---|
| 4765 | va (VaSet
|
|---|
| 4766 | font "Courier New,8,0"
|
|---|
| 4767 | )
|
|---|
| 4768 | xt "-85000,78600,-62500,79400"
|
|---|
| 4769 | st "SIGNAL wiz_busy : std_logic"
|
|---|
| 4770 | )
|
|---|
| 4771 | )
|
|---|
| 4772 | *133 (Net
|
|---|
| 4773 | uid 2474,0
|
|---|
| 4774 | lang 2
|
|---|
| 4775 | decl (Decl
|
|---|
| 4776 | n "wiz_write_ea"
|
|---|
| 4777 | t "std_logic"
|
|---|
| 4778 | o 76
|
|---|
| 4779 | suid 39,0
|
|---|
| 4780 | i "'0'"
|
|---|
| 4781 | )
|
|---|
| 4782 | declText (MLText
|
|---|
| 4783 | uid 2475,0
|
|---|
| 4784 | va (VaSet
|
|---|
| 4785 | font "Courier New,8,0"
|
|---|
| 4786 | )
|
|---|
| 4787 | xt "-85000,81000,-41500,81800"
|
|---|
| 4788 | st "SIGNAL wiz_write_ea : std_logic := '0'"
|
|---|
| 4789 | )
|
|---|
| 4790 | )
|
|---|
| 4791 | *134 (Net
|
|---|
| 4792 | uid 2480,0
|
|---|
| 4793 | lang 2
|
|---|
| 4794 | decl (Decl
|
|---|
| 4795 | n "wiz_write_length"
|
|---|
| 4796 | t "std_logic_vector"
|
|---|
| 4797 | b "(16 downto 0)"
|
|---|
| 4798 | o 79
|
|---|
| 4799 | suid 40,0
|
|---|
| 4800 | i "(others => '0')"
|
|---|
| 4801 | )
|
|---|
| 4802 | declText (MLText
|
|---|
| 4803 | uid 2481,0
|
|---|
| 4804 | va (VaSet
|
|---|
| 4805 | font "Courier New,8,0"
|
|---|
| 4806 | )
|
|---|
| 4807 | xt "-85000,83400,-35500,84200"
|
|---|
| 4808 | st "SIGNAL wiz_write_length : std_logic_vector(16 downto 0) := (others => '0')"
|
|---|
| 4809 | )
|
|---|
| 4810 | )
|
|---|
| 4811 | *135 (Net
|
|---|
| 4812 | uid 2486,0
|
|---|
| 4813 | lang 2
|
|---|
| 4814 | decl (Decl
|
|---|
| 4815 | n "wiz_ram_start_addr"
|
|---|
| 4816 | t "std_logic_vector"
|
|---|
| 4817 | b "(RAMADDRWIDTH64b+1 DOWNTO 0)"
|
|---|
| 4818 | preAdd 0
|
|---|
| 4819 | o 75
|
|---|
| 4820 | suid 41,0
|
|---|
| 4821 | i "(others => '0')"
|
|---|
| 4822 | )
|
|---|
| 4823 | declText (MLText
|
|---|
| 4824 | uid 2487,0
|
|---|
| 4825 | va (VaSet
|
|---|
| 4826 | font "Courier New,8,0"
|
|---|
| 4827 | )
|
|---|
| 4828 | xt "-85000,80200,-35500,81000"
|
|---|
| 4829 | st "SIGNAL wiz_ram_start_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
|
|---|
| 4830 | )
|
|---|
| 4831 | )
|
|---|
| 4832 | *136 (Net
|
|---|
| 4833 | uid 2492,0
|
|---|
| 4834 | lang 2
|
|---|
| 4835 | decl (Decl
|
|---|
| 4836 | n "wiz_number_of_channels"
|
|---|
| 4837 | t "std_logic_vector"
|
|---|
| 4838 | b "(3 downto 0)"
|
|---|
| 4839 | o 74
|
|---|
| 4840 | suid 42,0
|
|---|
| 4841 | i "(others => '0')"
|
|---|
| 4842 | )
|
|---|
| 4843 | declText (MLText
|
|---|
| 4844 | uid 2493,0
|
|---|
| 4845 | va (VaSet
|
|---|
| 4846 | font "Courier New,8,0"
|
|---|
| 4847 | )
|
|---|
| 4848 | xt "-85000,79400,-35500,80200"
|
|---|
| 4849 | st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0) := (others => '0')"
|
|---|
| 4850 | )
|
|---|
| 4851 | )
|
|---|
| 4852 | *137 (Net
|
|---|
| 4853 | uid 2498,0
|
|---|
| 4854 | lang 2
|
|---|
| 4855 | decl (Decl
|
|---|
| 4856 | n "wiz_write_end"
|
|---|
| 4857 | t "std_logic"
|
|---|
| 4858 | o 77
|
|---|
| 4859 | suid 43,0
|
|---|
| 4860 | i "'0'"
|
|---|
| 4861 | )
|
|---|
| 4862 | declText (MLText
|
|---|
| 4863 | uid 2499,0
|
|---|
| 4864 | va (VaSet
|
|---|
| 4865 | font "Courier New,8,0"
|
|---|
| 4866 | )
|
|---|
| 4867 | xt "-85000,81800,-41500,82600"
|
|---|
| 4868 | st "SIGNAL wiz_write_end : std_logic := '0'"
|
|---|
| 4869 | )
|
|---|
| 4870 | )
|
|---|
| 4871 | *138 (Net
|
|---|
| 4872 | uid 2504,0
|
|---|
| 4873 | lang 2
|
|---|
| 4874 | decl (Decl
|
|---|
| 4875 | n "wiz_write_header"
|
|---|
| 4876 | t "std_logic"
|
|---|
| 4877 | o 78
|
|---|
| 4878 | suid 44,0
|
|---|
| 4879 | i "'0'"
|
|---|
| 4880 | )
|
|---|
| 4881 | declText (MLText
|
|---|
| 4882 | uid 2505,0
|
|---|
| 4883 | va (VaSet
|
|---|
| 4884 | font "Courier New,8,0"
|
|---|
| 4885 | )
|
|---|
| 4886 | xt "-85000,82600,-41500,83400"
|
|---|
| 4887 | st "SIGNAL wiz_write_header : std_logic := '0'"
|
|---|
| 4888 | )
|
|---|
| 4889 | )
|
|---|
| 4890 | *139 (Net
|
|---|
| 4891 | uid 2574,0
|
|---|
| 4892 | decl (Decl
|
|---|
| 4893 | n "ram_write_ea"
|
|---|
| 4894 | t "std_logic"
|
|---|
| 4895 | o 65
|
|---|
| 4896 | suid 48,0
|
|---|
| 4897 | )
|
|---|
| 4898 | declText (MLText
|
|---|
| 4899 | uid 2575,0
|
|---|
| 4900 | va (VaSet
|
|---|
| 4901 | font "Courier New,8,0"
|
|---|
| 4902 | )
|
|---|
| 4903 | xt "-85000,71400,-62500,72200"
|
|---|
| 4904 | st "SIGNAL ram_write_ea : std_logic"
|
|---|
| 4905 | )
|
|---|
| 4906 | )
|
|---|
| 4907 | *140 (Net
|
|---|
| 4908 | uid 2580,0
|
|---|
| 4909 | decl (Decl
|
|---|
| 4910 | n "ram_write_ready"
|
|---|
| 4911 | t "std_logic"
|
|---|
| 4912 | o 66
|
|---|
| 4913 | suid 49,0
|
|---|
| 4914 | i "'0'"
|
|---|
| 4915 | )
|
|---|
| 4916 | declText (MLText
|
|---|
| 4917 | uid 2581,0
|
|---|
| 4918 | va (VaSet
|
|---|
| 4919 | font "Courier New,8,0"
|
|---|
| 4920 | )
|
|---|
| 4921 | xt "-85000,72200,-41500,73000"
|
|---|
| 4922 | st "SIGNAL ram_write_ready : std_logic := '0'"
|
|---|
| 4923 | )
|
|---|
| 4924 | )
|
|---|
| 4925 | *141 (Net
|
|---|
| 4926 | uid 2586,0
|
|---|
| 4927 | decl (Decl
|
|---|
| 4928 | n "config_start"
|
|---|
| 4929 | t "std_logic"
|
|---|
| 4930 | o 44
|
|---|
| 4931 | suid 50,0
|
|---|
| 4932 | i "'0'"
|
|---|
| 4933 | )
|
|---|
| 4934 | declText (MLText
|
|---|
| 4935 | uid 2587,0
|
|---|
| 4936 | va (VaSet
|
|---|
| 4937 | font "Courier New,8,0"
|
|---|
| 4938 | )
|
|---|
| 4939 | xt "-85000,54600,-41500,55400"
|
|---|
| 4940 | st "SIGNAL config_start : std_logic := '0'"
|
|---|
| 4941 | )
|
|---|
| 4942 | )
|
|---|
| 4943 | *142 (Net
|
|---|
| 4944 | uid 2592,0
|
|---|
| 4945 | decl (Decl
|
|---|
| 4946 | n "config_ready"
|
|---|
| 4947 | t "std_logic"
|
|---|
| 4948 | o 41
|
|---|
| 4949 | suid 51,0
|
|---|
| 4950 | )
|
|---|
| 4951 | declText (MLText
|
|---|
| 4952 | uid 2593,0
|
|---|
| 4953 | va (VaSet
|
|---|
| 4954 | font "Courier New,8,0"
|
|---|
| 4955 | )
|
|---|
| 4956 | xt "-85000,52200,-62500,53000"
|
|---|
| 4957 | st "SIGNAL config_ready : std_logic"
|
|---|
| 4958 | )
|
|---|
| 4959 | )
|
|---|
| 4960 | *143 (Net
|
|---|
| 4961 | uid 2598,0
|
|---|
| 4962 | decl (Decl
|
|---|
| 4963 | n "roi_max"
|
|---|
| 4964 | t "roi_max_type"
|
|---|
| 4965 | o 68
|
|---|
| 4966 | suid 52,0
|
|---|
| 4967 | )
|
|---|
| 4968 | declText (MLText
|
|---|
| 4969 | uid 2599,0
|
|---|
| 4970 | va (VaSet
|
|---|
| 4971 | font "Courier New,8,0"
|
|---|
| 4972 | )
|
|---|
| 4973 | xt "-85000,73800,-61000,74600"
|
|---|
| 4974 | st "SIGNAL roi_max : roi_max_type"
|
|---|
| 4975 | )
|
|---|
| 4976 | )
|
|---|
| 4977 | *144 (Net
|
|---|
| 4978 | uid 2640,0
|
|---|
| 4979 | decl (Decl
|
|---|
| 4980 | n "package_length"
|
|---|
| 4981 | t "std_logic_vector"
|
|---|
| 4982 | b "(15 downto 0)"
|
|---|
| 4983 | o 61
|
|---|
| 4984 | suid 54,0
|
|---|
| 4985 | )
|
|---|
| 4986 | declText (MLText
|
|---|
| 4987 | uid 2641,0
|
|---|
| 4988 | va (VaSet
|
|---|
| 4989 | font "Courier New,8,0"
|
|---|
| 4990 | )
|
|---|
| 4991 | xt "-85000,68200,-52500,69000"
|
|---|
| 4992 | st "SIGNAL package_length : std_logic_vector(15 downto 0)"
|
|---|
| 4993 | )
|
|---|
| 4994 | )
|
|---|
| 4995 | *145 (Net
|
|---|
| 4996 | uid 2776,0
|
|---|
| 4997 | decl (Decl
|
|---|
| 4998 | n "adc_oeb"
|
|---|
| 4999 | t "std_logic"
|
|---|
| 5000 | o 16
|
|---|
| 5001 | suid 57,0
|
|---|
| 5002 | i "'1'"
|
|---|
| 5003 | )
|
|---|
| 5004 | declText (MLText
|
|---|
| 5005 | uid 2777,0
|
|---|
| 5006 | va (VaSet
|
|---|
| 5007 | font "Courier New,8,0"
|
|---|
| 5008 | )
|
|---|
| 5009 | xt "-85000,30200,-45000,31000"
|
|---|
| 5010 | st "adc_oeb : std_logic := '1'"
|
|---|
| 5011 | )
|
|---|
| 5012 | )
|
|---|
| 5013 | *146 (PortIoOut
|
|---|
| 5014 | uid 2798,0
|
|---|
| 5015 | shape (CompositeShape
|
|---|
| 5016 | uid 2799,0
|
|---|
| 5017 | va (VaSet
|
|---|
| 5018 | vasetType 1
|
|---|
| 5019 | fg "0,0,32768"
|
|---|
| 5020 | )
|
|---|
| 5021 | optionalChildren [
|
|---|
| 5022 | (Pentagon
|
|---|
| 5023 | uid 2800,0
|
|---|
| 5024 | sl 0
|
|---|
| 5025 | ro 90
|
|---|
| 5026 | xt "-28000,81625,-26500,82375"
|
|---|
| 5027 | )
|
|---|
| 5028 | (Line
|
|---|
| 5029 | uid 2801,0
|
|---|
| 5030 | sl 0
|
|---|
| 5031 | ro 90
|
|---|
| 5032 | xt "-26500,82000,-26000,82000"
|
|---|
| 5033 | pts [
|
|---|
| 5034 | "-26000,82000"
|
|---|
| 5035 | "-26500,82000"
|
|---|
| 5036 | ]
|
|---|
| 5037 | )
|
|---|
| 5038 | ]
|
|---|
| 5039 | )
|
|---|
| 5040 | stc 0
|
|---|
| 5041 | sf 1
|
|---|
| 5042 | tg (WTG
|
|---|
| 5043 | uid 2802,0
|
|---|
| 5044 | ps "PortIoTextPlaceStrategy"
|
|---|
| 5045 | stg "STSignalDisplayStrategy"
|
|---|
| 5046 | f (Text
|
|---|
| 5047 | uid 2803,0
|
|---|
| 5048 | va (VaSet
|
|---|
| 5049 | )
|
|---|
| 5050 | xt "-32200,81500,-29000,82500"
|
|---|
| 5051 | st "adc_oeb"
|
|---|
| 5052 | ju 2
|
|---|
| 5053 | blo "-29000,82300"
|
|---|
| 5054 | tm "WireNameMgr"
|
|---|
| 5055 | )
|
|---|
| 5056 | )
|
|---|
| 5057 | )
|
|---|
| 5058 | *147 (PortIoIn
|
|---|
| 5059 | uid 2804,0
|
|---|
| 5060 | shape (CompositeShape
|
|---|
| 5061 | uid 2805,0
|
|---|
| 5062 | va (VaSet
|
|---|
| 5063 | vasetType 1
|
|---|
| 5064 | fg "0,0,32768"
|
|---|
| 5065 | )
|
|---|
| 5066 | optionalChildren [
|
|---|
| 5067 | (Pentagon
|
|---|
| 5068 | uid 2806,0
|
|---|
| 5069 | sl 0
|
|---|
| 5070 | ro 270
|
|---|
| 5071 | xt "-28000,76625,-26500,77375"
|
|---|
| 5072 | )
|
|---|
| 5073 | (Line
|
|---|
| 5074 | uid 2807,0
|
|---|
| 5075 | sl 0
|
|---|
| 5076 | ro 270
|
|---|
| 5077 | xt "-26500,77000,-26000,77000"
|
|---|
| 5078 | pts [
|
|---|
| 5079 | "-26500,77000"
|
|---|
| 5080 | "-26000,77000"
|
|---|
| 5081 | ]
|
|---|
| 5082 | )
|
|---|
| 5083 | ]
|
|---|
| 5084 | )
|
|---|
| 5085 | stc 0
|
|---|
| 5086 | sf 1
|
|---|
| 5087 | tg (WTG
|
|---|
| 5088 | uid 2808,0
|
|---|
| 5089 | ps "PortIoTextPlaceStrategy"
|
|---|
| 5090 | stg "STSignalDisplayStrategy"
|
|---|
| 5091 | f (Text
|
|---|
| 5092 | uid 2809,0
|
|---|
| 5093 | va (VaSet
|
|---|
| 5094 | )
|
|---|
| 5095 | xt "-34400,76500,-29000,77500"
|
|---|
| 5096 | st "adc_otr_array"
|
|---|
| 5097 | ju 2
|
|---|
| 5098 | blo "-29000,77300"
|
|---|
| 5099 | tm "WireNameMgr"
|
|---|
| 5100 | )
|
|---|
| 5101 | )
|
|---|
| 5102 | )
|
|---|
| 5103 | *148 (Net
|
|---|
| 5104 | uid 2924,0
|
|---|
| 5105 | decl (Decl
|
|---|
| 5106 | n "roi_array"
|
|---|
| 5107 | t "roi_array_type"
|
|---|
| 5108 | o 67
|
|---|
| 5109 | suid 65,0
|
|---|
| 5110 | )
|
|---|
| 5111 | declText (MLText
|
|---|
| 5112 | uid 2925,0
|
|---|
| 5113 | va (VaSet
|
|---|
| 5114 | font "Courier New,8,0"
|
|---|
| 5115 | )
|
|---|
| 5116 | xt "-85000,73000,-60000,73800"
|
|---|
| 5117 | st "SIGNAL roi_array : roi_array_type"
|
|---|
| 5118 | )
|
|---|
| 5119 | )
|
|---|
| 5120 | *149 (PortIoIn
|
|---|
| 5121 | uid 2950,0
|
|---|
| 5122 | shape (CompositeShape
|
|---|
| 5123 | uid 2951,0
|
|---|
| 5124 | va (VaSet
|
|---|
| 5125 | vasetType 1
|
|---|
| 5126 | fg "0,0,32768"
|
|---|
| 5127 | )
|
|---|
| 5128 | optionalChildren [
|
|---|
| 5129 | (Pentagon
|
|---|
| 5130 | uid 2952,0
|
|---|
| 5131 | sl 0
|
|---|
| 5132 | ro 270
|
|---|
| 5133 | xt "-28000,85625,-26500,86375"
|
|---|
| 5134 | )
|
|---|
| 5135 | (Line
|
|---|
| 5136 | uid 2953,0
|
|---|
| 5137 | sl 0
|
|---|
| 5138 | ro 270
|
|---|
| 5139 | xt "-26500,86000,-26000,86000"
|
|---|
| 5140 | pts [
|
|---|
| 5141 | "-26500,86000"
|
|---|
| 5142 | "-26000,86000"
|
|---|
| 5143 | ]
|
|---|
| 5144 | )
|
|---|
| 5145 | ]
|
|---|
| 5146 | )
|
|---|
| 5147 | stc 0
|
|---|
| 5148 | sf 1
|
|---|
| 5149 | tg (WTG
|
|---|
| 5150 | uid 2954,0
|
|---|
| 5151 | ps "PortIoTextPlaceStrategy"
|
|---|
| 5152 | stg "STSignalDisplayStrategy"
|
|---|
| 5153 | f (Text
|
|---|
| 5154 | uid 2955,0
|
|---|
| 5155 | va (VaSet
|
|---|
| 5156 | )
|
|---|
| 5157 | xt "-32300,85500,-29000,86500"
|
|---|
| 5158 | st "board_id"
|
|---|
| 5159 | ju 2
|
|---|
| 5160 | blo "-29000,86300"
|
|---|
| 5161 | tm "WireNameMgr"
|
|---|
| 5162 | )
|
|---|
| 5163 | )
|
|---|
| 5164 | )
|
|---|
| 5165 | *150 (PortIoIn
|
|---|
| 5166 | uid 2956,0
|
|---|
| 5167 | shape (CompositeShape
|
|---|
| 5168 | uid 2957,0
|
|---|
| 5169 | va (VaSet
|
|---|
| 5170 | vasetType 1
|
|---|
| 5171 | fg "0,0,32768"
|
|---|
| 5172 | )
|
|---|
| 5173 | optionalChildren [
|
|---|
| 5174 | (Pentagon
|
|---|
| 5175 | uid 2958,0
|
|---|
| 5176 | sl 0
|
|---|
| 5177 | ro 270
|
|---|
| 5178 | xt "-28000,86625,-26500,87375"
|
|---|
| 5179 | )
|
|---|
| 5180 | (Line
|
|---|
| 5181 | uid 2959,0
|
|---|
| 5182 | sl 0
|
|---|
| 5183 | ro 270
|
|---|
| 5184 | xt "-26500,87000,-26000,87000"
|
|---|
| 5185 | pts [
|
|---|
| 5186 | "-26500,87000"
|
|---|
| 5187 | "-26000,87000"
|
|---|
| 5188 | ]
|
|---|
| 5189 | )
|
|---|
| 5190 | ]
|
|---|
| 5191 | )
|
|---|
| 5192 | stc 0
|
|---|
| 5193 | sf 1
|
|---|
| 5194 | tg (WTG
|
|---|
| 5195 | uid 2960,0
|
|---|
| 5196 | ps "PortIoTextPlaceStrategy"
|
|---|
| 5197 | stg "STSignalDisplayStrategy"
|
|---|
| 5198 | f (Text
|
|---|
| 5199 | uid 2961,0
|
|---|
| 5200 | va (VaSet
|
|---|
| 5201 | )
|
|---|
| 5202 | xt "-32100,86500,-29000,87500"
|
|---|
| 5203 | st "crate_id"
|
|---|
| 5204 | ju 2
|
|---|
| 5205 | blo "-29000,87300"
|
|---|
| 5206 | tm "WireNameMgr"
|
|---|
| 5207 | )
|
|---|
| 5208 | )
|
|---|
| 5209 | )
|
|---|
| 5210 | *151 (Grouping
|
|---|
| 5211 | uid 3137,0
|
|---|
| 5212 | optionalChildren [
|
|---|
| 5213 | *152 (CommentText
|
|---|
| 5214 | uid 3139,0
|
|---|
| 5215 | shape (Rectangle
|
|---|
| 5216 | uid 3140,0
|
|---|
| 5217 | sl 0
|
|---|
| 5218 | va (VaSet
|
|---|
| 5219 | vasetType 1
|
|---|
| 5220 | fg "65280,65280,46080"
|
|---|
| 5221 | )
|
|---|
| 5222 | xt "125000,175000,142000,176000"
|
|---|
| 5223 | )
|
|---|
| 5224 | oxt "18000,70000,35000,71000"
|
|---|
| 5225 | text (MLText
|
|---|
| 5226 | uid 3141,0
|
|---|
| 5227 | va (VaSet
|
|---|
| 5228 | fg "0,0,32768"
|
|---|
| 5229 | bg "0,0,32768"
|
|---|
| 5230 | )
|
|---|
| 5231 | xt "125200,175000,134500,176000"
|
|---|
| 5232 | st "
|
|---|
| 5233 | by %user on %dd %month %year
|
|---|
| 5234 | "
|
|---|
| 5235 | tm "CommentText"
|
|---|
| 5236 | wrapOption 3
|
|---|
| 5237 | visibleHeight 1000
|
|---|
| 5238 | visibleWidth 17000
|
|---|
| 5239 | )
|
|---|
| 5240 | position 1
|
|---|
| 5241 | ignorePrefs 1
|
|---|
| 5242 | titleBlock 1
|
|---|
| 5243 | )
|
|---|
| 5244 | *153 (CommentText
|
|---|
| 5245 | uid 3142,0
|
|---|
| 5246 | shape (Rectangle
|
|---|
| 5247 | uid 3143,0
|
|---|
| 5248 | sl 0
|
|---|
| 5249 | va (VaSet
|
|---|
| 5250 | vasetType 1
|
|---|
| 5251 | fg "65280,65280,46080"
|
|---|
| 5252 | )
|
|---|
| 5253 | xt "142000,171000,146000,172000"
|
|---|
| 5254 | )
|
|---|
| 5255 | oxt "35000,66000,39000,67000"
|
|---|
| 5256 | text (MLText
|
|---|
| 5257 | uid 3144,0
|
|---|
| 5258 | va (VaSet
|
|---|
| 5259 | fg "0,0,32768"
|
|---|
| 5260 | bg "0,0,32768"
|
|---|
| 5261 | )
|
|---|
| 5262 | xt "142200,171000,145200,172000"
|
|---|
| 5263 | st "
|
|---|
| 5264 | Project:
|
|---|
| 5265 | "
|
|---|
| 5266 | tm "CommentText"
|
|---|
| 5267 | wrapOption 3
|
|---|
| 5268 | visibleHeight 1000
|
|---|
| 5269 | visibleWidth 4000
|
|---|
| 5270 | )
|
|---|
| 5271 | position 1
|
|---|
| 5272 | ignorePrefs 1
|
|---|
| 5273 | titleBlock 1
|
|---|
| 5274 | )
|
|---|
| 5275 | *154 (CommentText
|
|---|
| 5276 | uid 3145,0
|
|---|
| 5277 | shape (Rectangle
|
|---|
| 5278 | uid 3146,0
|
|---|
| 5279 | sl 0
|
|---|
| 5280 | va (VaSet
|
|---|
| 5281 | vasetType 1
|
|---|
| 5282 | fg "65280,65280,46080"
|
|---|
| 5283 | )
|
|---|
| 5284 | xt "125000,173000,142000,174000"
|
|---|
| 5285 | )
|
|---|
| 5286 | oxt "18000,68000,35000,69000"
|
|---|
| 5287 | text (MLText
|
|---|
| 5288 | uid 3147,0
|
|---|
| 5289 | va (VaSet
|
|---|
| 5290 | fg "0,0,32768"
|
|---|
| 5291 | bg "0,0,32768"
|
|---|
| 5292 | )
|
|---|
| 5293 | xt "125200,173000,135200,174000"
|
|---|
| 5294 | st "
|
|---|
| 5295 | <enter diagram title here>
|
|---|
| 5296 | "
|
|---|
| 5297 | tm "CommentText"
|
|---|
| 5298 | wrapOption 3
|
|---|
| 5299 | visibleHeight 1000
|
|---|
| 5300 | visibleWidth 17000
|
|---|
| 5301 | )
|
|---|
| 5302 | position 1
|
|---|
| 5303 | ignorePrefs 1
|
|---|
| 5304 | titleBlock 1
|
|---|
| 5305 | )
|
|---|
| 5306 | *155 (CommentText
|
|---|
| 5307 | uid 3148,0
|
|---|
| 5308 | shape (Rectangle
|
|---|
| 5309 | uid 3149,0
|
|---|
| 5310 | sl 0
|
|---|
| 5311 | va (VaSet
|
|---|
| 5312 | vasetType 1
|
|---|
| 5313 | fg "65280,65280,46080"
|
|---|
| 5314 | )
|
|---|
| 5315 | xt "121000,173000,125000,174000"
|
|---|
| 5316 | )
|
|---|
| 5317 | oxt "14000,68000,18000,69000"
|
|---|
| 5318 | text (MLText
|
|---|
| 5319 | uid 3150,0
|
|---|
| 5320 | va (VaSet
|
|---|
| 5321 | fg "0,0,32768"
|
|---|
| 5322 | bg "0,0,32768"
|
|---|
| 5323 | )
|
|---|
| 5324 | xt "121200,173000,123300,174000"
|
|---|
| 5325 | st "
|
|---|
| 5326 | Title:
|
|---|
| 5327 | "
|
|---|
| 5328 | tm "CommentText"
|
|---|
| 5329 | wrapOption 3
|
|---|
| 5330 | visibleHeight 1000
|
|---|
| 5331 | visibleWidth 4000
|
|---|
| 5332 | )
|
|---|
| 5333 | position 1
|
|---|
| 5334 | ignorePrefs 1
|
|---|
| 5335 | titleBlock 1
|
|---|
| 5336 | )
|
|---|
| 5337 | *156 (CommentText
|
|---|
| 5338 | uid 3151,0
|
|---|
| 5339 | shape (Rectangle
|
|---|
| 5340 | uid 3152,0
|
|---|
| 5341 | sl 0
|
|---|
| 5342 | va (VaSet
|
|---|
| 5343 | vasetType 1
|
|---|
| 5344 | fg "65280,65280,46080"
|
|---|
| 5345 | )
|
|---|
| 5346 | xt "142000,172000,162000,176000"
|
|---|
| 5347 | )
|
|---|
| 5348 | oxt "35000,67000,55000,71000"
|
|---|
| 5349 | text (MLText
|
|---|
| 5350 | uid 3153,0
|
|---|
| 5351 | va (VaSet
|
|---|
| 5352 | fg "0,0,32768"
|
|---|
| 5353 | bg "0,0,32768"
|
|---|
| 5354 | )
|
|---|
| 5355 | xt "142200,172200,151400,173200"
|
|---|
| 5356 | st "
|
|---|
| 5357 | <enter comments here>
|
|---|
| 5358 | "
|
|---|
| 5359 | tm "CommentText"
|
|---|
| 5360 | wrapOption 3
|
|---|
| 5361 | visibleHeight 4000
|
|---|
| 5362 | visibleWidth 20000
|
|---|
| 5363 | )
|
|---|
| 5364 | ignorePrefs 1
|
|---|
| 5365 | titleBlock 1
|
|---|
| 5366 | )
|
|---|
| 5367 | *157 (CommentText
|
|---|
| 5368 | uid 3154,0
|
|---|
| 5369 | shape (Rectangle
|
|---|
| 5370 | uid 3155,0
|
|---|
| 5371 | sl 0
|
|---|
| 5372 | va (VaSet
|
|---|
| 5373 | vasetType 1
|
|---|
| 5374 | fg "65280,65280,46080"
|
|---|
| 5375 | )
|
|---|
| 5376 | xt "146000,171000,162000,172000"
|
|---|
| 5377 | )
|
|---|
| 5378 | oxt "39000,66000,55000,67000"
|
|---|
| 5379 | text (MLText
|
|---|
| 5380 | uid 3156,0
|
|---|
| 5381 | va (VaSet
|
|---|
| 5382 | fg "0,0,32768"
|
|---|
| 5383 | bg "0,0,32768"
|
|---|
| 5384 | )
|
|---|
| 5385 | xt "146200,171000,150700,172000"
|
|---|
| 5386 | st "
|
|---|
| 5387 | %project_name
|
|---|
| 5388 | "
|
|---|
| 5389 | tm "CommentText"
|
|---|
| 5390 | wrapOption 3
|
|---|
| 5391 | visibleHeight 1000
|
|---|
| 5392 | visibleWidth 16000
|
|---|
| 5393 | )
|
|---|
| 5394 | position 1
|
|---|
| 5395 | ignorePrefs 1
|
|---|
| 5396 | titleBlock 1
|
|---|
| 5397 | )
|
|---|
| 5398 | *158 (CommentText
|
|---|
| 5399 | uid 3157,0
|
|---|
| 5400 | shape (Rectangle
|
|---|
| 5401 | uid 3158,0
|
|---|
| 5402 | sl 0
|
|---|
| 5403 | va (VaSet
|
|---|
| 5404 | vasetType 1
|
|---|
| 5405 | fg "65280,65280,46080"
|
|---|
| 5406 | )
|
|---|
| 5407 | xt "121000,171000,142000,173000"
|
|---|
| 5408 | )
|
|---|
| 5409 | oxt "14000,66000,35000,68000"
|
|---|
| 5410 | text (MLText
|
|---|
| 5411 | uid 3159,0
|
|---|
| 5412 | va (VaSet
|
|---|
| 5413 | fg "32768,0,0"
|
|---|
| 5414 | )
|
|---|
| 5415 | xt "128700,171000,134300,173000"
|
|---|
| 5416 | st "
|
|---|
| 5417 | TU Dortmund
|
|---|
| 5418 | Physik / EE
|
|---|
| 5419 | "
|
|---|
| 5420 | ju 0
|
|---|
| 5421 | tm "CommentText"
|
|---|
| 5422 | wrapOption 3
|
|---|
| 5423 | visibleHeight 2000
|
|---|
| 5424 | visibleWidth 21000
|
|---|
| 5425 | )
|
|---|
| 5426 | position 1
|
|---|
| 5427 | ignorePrefs 1
|
|---|
| 5428 | titleBlock 1
|
|---|
| 5429 | )
|
|---|
| 5430 | *159 (CommentText
|
|---|
| 5431 | uid 3160,0
|
|---|
| 5432 | shape (Rectangle
|
|---|
| 5433 | uid 3161,0
|
|---|
| 5434 | sl 0
|
|---|
| 5435 | va (VaSet
|
|---|
| 5436 | vasetType 1
|
|---|
| 5437 | fg "65280,65280,46080"
|
|---|
| 5438 | )
|
|---|
| 5439 | xt "121000,174000,125000,175000"
|
|---|
| 5440 | )
|
|---|
| 5441 | oxt "14000,69000,18000,70000"
|
|---|
| 5442 | text (MLText
|
|---|
| 5443 | uid 3162,0
|
|---|
| 5444 | va (VaSet
|
|---|
| 5445 | fg "0,0,32768"
|
|---|
| 5446 | bg "0,0,32768"
|
|---|
| 5447 | )
|
|---|
| 5448 | xt "121200,174000,123300,175000"
|
|---|
| 5449 | st "
|
|---|
| 5450 | Path:
|
|---|
| 5451 | "
|
|---|
| 5452 | tm "CommentText"
|
|---|
| 5453 | wrapOption 3
|
|---|
| 5454 | visibleHeight 1000
|
|---|
| 5455 | visibleWidth 4000
|
|---|
| 5456 | )
|
|---|
| 5457 | position 1
|
|---|
| 5458 | ignorePrefs 1
|
|---|
| 5459 | titleBlock 1
|
|---|
| 5460 | )
|
|---|
| 5461 | *160 (CommentText
|
|---|
| 5462 | uid 3163,0
|
|---|
| 5463 | shape (Rectangle
|
|---|
| 5464 | uid 3164,0
|
|---|
| 5465 | sl 0
|
|---|
| 5466 | va (VaSet
|
|---|
| 5467 | vasetType 1
|
|---|
| 5468 | fg "65280,65280,46080"
|
|---|
| 5469 | )
|
|---|
| 5470 | xt "121000,175000,125000,176000"
|
|---|
| 5471 | )
|
|---|
| 5472 | oxt "14000,70000,18000,71000"
|
|---|
| 5473 | text (MLText
|
|---|
| 5474 | uid 3165,0
|
|---|
| 5475 | va (VaSet
|
|---|
| 5476 | fg "0,0,32768"
|
|---|
| 5477 | bg "0,0,32768"
|
|---|
| 5478 | )
|
|---|
| 5479 | xt "121200,175000,123900,176000"
|
|---|
| 5480 | st "
|
|---|
| 5481 | Edited:
|
|---|
| 5482 | "
|
|---|
| 5483 | tm "CommentText"
|
|---|
| 5484 | wrapOption 3
|
|---|
| 5485 | visibleHeight 1000
|
|---|
| 5486 | visibleWidth 4000
|
|---|
| 5487 | )
|
|---|
| 5488 | position 1
|
|---|
| 5489 | ignorePrefs 1
|
|---|
| 5490 | titleBlock 1
|
|---|
| 5491 | )
|
|---|
| 5492 | *161 (CommentText
|
|---|
| 5493 | uid 3166,0
|
|---|
| 5494 | shape (Rectangle
|
|---|
| 5495 | uid 3167,0
|
|---|
| 5496 | sl 0
|
|---|
| 5497 | va (VaSet
|
|---|
| 5498 | vasetType 1
|
|---|
| 5499 | fg "65280,65280,46080"
|
|---|
| 5500 | )
|
|---|
| 5501 | xt "125000,174000,142000,175000"
|
|---|
| 5502 | )
|
|---|
| 5503 | oxt "18000,69000,35000,70000"
|
|---|
| 5504 | text (MLText
|
|---|
| 5505 | uid 3168,0
|
|---|
| 5506 | va (VaSet
|
|---|
| 5507 | fg "0,0,32768"
|
|---|
| 5508 | bg "0,0,32768"
|
|---|
| 5509 | )
|
|---|
| 5510 | xt "125200,174000,137600,175000"
|
|---|
| 5511 | st "
|
|---|
| 5512 | %library/%unit/%view
|
|---|
| 5513 | "
|
|---|
| 5514 | tm "CommentText"
|
|---|
| 5515 | wrapOption 3
|
|---|
| 5516 | visibleHeight 1000
|
|---|
| 5517 | visibleWidth 17000
|
|---|
| 5518 | )
|
|---|
| 5519 | position 1
|
|---|
| 5520 | ignorePrefs 1
|
|---|
| 5521 | titleBlock 1
|
|---|
| 5522 | )
|
|---|
| 5523 | ]
|
|---|
| 5524 | shape (GroupingShape
|
|---|
| 5525 | uid 3138,0
|
|---|
| 5526 | va (VaSet
|
|---|
| 5527 | vasetType 1
|
|---|
| 5528 | fg "65535,65535,65535"
|
|---|
| 5529 | lineStyle 2
|
|---|
| 5530 | lineWidth 2
|
|---|
| 5531 | )
|
|---|
| 5532 | xt "121000,171000,162000,176000"
|
|---|
| 5533 | )
|
|---|
| 5534 | oxt "14000,66000,55000,71000"
|
|---|
| 5535 | )
|
|---|
| 5536 | *162 (Net
|
|---|
| 5537 | uid 3894,0
|
|---|
| 5538 | decl (Decl
|
|---|
| 5539 | n "CLK_25_PS"
|
|---|
| 5540 | t "std_logic"
|
|---|
| 5541 | o 12
|
|---|
| 5542 | suid 81,0
|
|---|
| 5543 | )
|
|---|
| 5544 | declText (MLText
|
|---|
| 5545 | uid 3895,0
|
|---|
| 5546 | va (VaSet
|
|---|
| 5547 | font "Courier New,8,0"
|
|---|
| 5548 | )
|
|---|
| 5549 | xt "-85000,27000,-66500,27800"
|
|---|
| 5550 | st "CLK_25_PS : std_logic"
|
|---|
| 5551 | )
|
|---|
| 5552 | )
|
|---|
| 5553 | *163 (PortIoOut
|
|---|
| 5554 | uid 3978,0
|
|---|
| 5555 | shape (CompositeShape
|
|---|
| 5556 | uid 3979,0
|
|---|
| 5557 | va (VaSet
|
|---|
| 5558 | vasetType 1
|
|---|
| 5559 | fg "0,0,32768"
|
|---|
| 5560 | )
|
|---|
| 5561 | optionalChildren [
|
|---|
| 5562 | (Pentagon
|
|---|
| 5563 | uid 3980,0
|
|---|
| 5564 | sl 0
|
|---|
| 5565 | ro 90
|
|---|
| 5566 | xt "-28000,30625,-26500,31375"
|
|---|
| 5567 | )
|
|---|
| 5568 | (Line
|
|---|
| 5569 | uid 3981,0
|
|---|
| 5570 | sl 0
|
|---|
| 5571 | ro 90
|
|---|
| 5572 | xt "-26500,31000,-26000,31000"
|
|---|
| 5573 | pts [
|
|---|
| 5574 | "-26000,31000"
|
|---|
| 5575 | "-26500,31000"
|
|---|
| 5576 | ]
|
|---|
| 5577 | )
|
|---|
| 5578 | ]
|
|---|
| 5579 | )
|
|---|
| 5580 | stc 0
|
|---|
| 5581 | sf 1
|
|---|
| 5582 | tg (WTG
|
|---|
| 5583 | uid 3982,0
|
|---|
| 5584 | ps "PortIoTextPlaceStrategy"
|
|---|
| 5585 | stg "STSignalDisplayStrategy"
|
|---|
| 5586 | f (Text
|
|---|
| 5587 | uid 3983,0
|
|---|
| 5588 | va (VaSet
|
|---|
| 5589 | )
|
|---|
| 5590 | xt "-33500,30500,-29000,31500"
|
|---|
| 5591 | st "CLK_25_PS"
|
|---|
| 5592 | ju 2
|
|---|
| 5593 | blo "-29000,31300"
|
|---|
| 5594 | tm "WireNameMgr"
|
|---|
| 5595 | )
|
|---|
| 5596 | )
|
|---|
| 5597 | )
|
|---|
| 5598 | *164 (Net
|
|---|
| 5599 | uid 4068,0
|
|---|
| 5600 | decl (Decl
|
|---|
| 5601 | n "CLK_50"
|
|---|
| 5602 | t "std_logic"
|
|---|
| 5603 | o 13
|
|---|
| 5604 | suid 90,0
|
|---|
| 5605 | )
|
|---|
| 5606 | declText (MLText
|
|---|
| 5607 | uid 4069,0
|
|---|
| 5608 | va (VaSet
|
|---|
| 5609 | font "Courier New,8,0"
|
|---|
| 5610 | )
|
|---|
| 5611 | xt "-85000,27800,-66500,28600"
|
|---|
| 5612 | st "CLK_50 : std_logic"
|
|---|
| 5613 | )
|
|---|
| 5614 | )
|
|---|
| 5615 | *165 (SaComponent
|
|---|
| 5616 | uid 4194,0
|
|---|
| 5617 | optionalChildren [
|
|---|
| 5618 | *166 (CptPort
|
|---|
| 5619 | uid 4178,0
|
|---|
| 5620 | ps "OnEdgeStrategy"
|
|---|
| 5621 | shape (Triangle
|
|---|
| 5622 | uid 4179,0
|
|---|
| 5623 | ro 90
|
|---|
| 5624 | va (VaSet
|
|---|
| 5625 | vasetType 1
|
|---|
| 5626 | fg "0,65535,0"
|
|---|
| 5627 | )
|
|---|
| 5628 | xt "-2000,18625,-1250,19375"
|
|---|
| 5629 | )
|
|---|
| 5630 | tg (CPTG
|
|---|
| 5631 | uid 4180,0
|
|---|
| 5632 | ps "CptPortTextPlaceStrategy"
|
|---|
| 5633 | stg "RightVerticalLayoutStrategy"
|
|---|
| 5634 | f (Text
|
|---|
| 5635 | uid 4181,0
|
|---|
| 5636 | va (VaSet
|
|---|
| 5637 | font "arial,8,0"
|
|---|
| 5638 | )
|
|---|
| 5639 | xt "-6100,18500,-3000,19500"
|
|---|
| 5640 | st "CLK_50"
|
|---|
| 5641 | ju 2
|
|---|
| 5642 | blo "-3000,19300"
|
|---|
| 5643 | )
|
|---|
| 5644 | )
|
|---|
| 5645 | thePort (LogicalPort
|
|---|
| 5646 | m 1
|
|---|
| 5647 | decl (Decl
|
|---|
| 5648 | n "CLK_50"
|
|---|
| 5649 | t "std_logic"
|
|---|
| 5650 | o 4
|
|---|
| 5651 | suid 2,0
|
|---|
| 5652 | )
|
|---|
| 5653 | )
|
|---|
| 5654 | )
|
|---|
| 5655 | *167 (CptPort
|
|---|
| 5656 | uid 4182,0
|
|---|
| 5657 | ps "OnEdgeStrategy"
|
|---|
| 5658 | shape (Triangle
|
|---|
| 5659 | uid 4183,0
|
|---|
| 5660 | ro 90
|
|---|
| 5661 | va (VaSet
|
|---|
| 5662 | vasetType 1
|
|---|
| 5663 | fg "0,65535,0"
|
|---|
| 5664 | )
|
|---|
| 5665 | xt "-2000,20625,-1250,21375"
|
|---|
| 5666 | )
|
|---|
| 5667 | tg (CPTG
|
|---|
| 5668 | uid 4184,0
|
|---|
| 5669 | ps "CptPortTextPlaceStrategy"
|
|---|
| 5670 | stg "RightVerticalLayoutStrategy"
|
|---|
| 5671 | f (Text
|
|---|
| 5672 | uid 4185,0
|
|---|
| 5673 | va (VaSet
|
|---|
| 5674 | font "arial,8,0"
|
|---|
| 5675 | )
|
|---|
| 5676 | xt "-6100,20500,-3000,21500"
|
|---|
| 5677 | st "CLK_25"
|
|---|
| 5678 | ju 2
|
|---|
| 5679 | blo "-3000,21300"
|
|---|
| 5680 | )
|
|---|
| 5681 | )
|
|---|
| 5682 | thePort (LogicalPort
|
|---|
| 5683 | m 1
|
|---|
| 5684 | decl (Decl
|
|---|
| 5685 | n "CLK_25"
|
|---|
| 5686 | t "std_logic"
|
|---|
| 5687 | o 2
|
|---|
| 5688 | suid 3,0
|
|---|
| 5689 | )
|
|---|
| 5690 | )
|
|---|
| 5691 | )
|
|---|
| 5692 | *168 (CptPort
|
|---|
| 5693 | uid 4186,0
|
|---|
| 5694 | ps "OnEdgeStrategy"
|
|---|
| 5695 | shape (Triangle
|
|---|
| 5696 | uid 4187,0
|
|---|
| 5697 | ro 90
|
|---|
| 5698 | va (VaSet
|
|---|
| 5699 | vasetType 1
|
|---|
| 5700 | fg "0,65535,0"
|
|---|
| 5701 | )
|
|---|
| 5702 | xt "-2000,22625,-1250,23375"
|
|---|
| 5703 | )
|
|---|
| 5704 | tg (CPTG
|
|---|
| 5705 | uid 4188,0
|
|---|
| 5706 | ps "CptPortTextPlaceStrategy"
|
|---|
| 5707 | stg "RightVerticalLayoutStrategy"
|
|---|
| 5708 | f (Text
|
|---|
| 5709 | uid 4189,0
|
|---|
| 5710 | va (VaSet
|
|---|
| 5711 | font "arial,8,0"
|
|---|
| 5712 | )
|
|---|
| 5713 | xt "-7500,22500,-3000,23500"
|
|---|
| 5714 | st "CLK_25_PS"
|
|---|
| 5715 | ju 2
|
|---|
| 5716 | blo "-3000,23300"
|
|---|
| 5717 | )
|
|---|
| 5718 | )
|
|---|
| 5719 | thePort (LogicalPort
|
|---|
| 5720 | m 1
|
|---|
| 5721 | decl (Decl
|
|---|
| 5722 | n "CLK_25_PS"
|
|---|
| 5723 | t "std_logic"
|
|---|
| 5724 | o 3
|
|---|
| 5725 | suid 4,0
|
|---|
| 5726 | )
|
|---|
| 5727 | )
|
|---|
| 5728 | )
|
|---|
| 5729 | *169 (CptPort
|
|---|
| 5730 | uid 4190,0
|
|---|
| 5731 | ps "OnEdgeStrategy"
|
|---|
| 5732 | shape (Triangle
|
|---|
| 5733 | uid 4191,0
|
|---|
| 5734 | ro 90
|
|---|
| 5735 | va (VaSet
|
|---|
| 5736 | vasetType 1
|
|---|
| 5737 | fg "0,65535,0"
|
|---|
| 5738 | )
|
|---|
| 5739 | xt "-20750,18625,-20000,19375"
|
|---|
| 5740 | )
|
|---|
| 5741 | tg (CPTG
|
|---|
| 5742 | uid 4192,0
|
|---|
| 5743 | ps "CptPortTextPlaceStrategy"
|
|---|
| 5744 | stg "VerticalLayoutStrategy"
|
|---|
| 5745 | f (Text
|
|---|
| 5746 | uid 4193,0
|
|---|
| 5747 | va (VaSet
|
|---|
| 5748 | font "arial,8,0"
|
|---|
| 5749 | )
|
|---|
| 5750 | xt "-19000,18500,-17100,19500"
|
|---|
| 5751 | st "CLK"
|
|---|
| 5752 | blo "-19000,19300"
|
|---|
| 5753 | )
|
|---|
| 5754 | )
|
|---|
| 5755 | thePort (LogicalPort
|
|---|
| 5756 | decl (Decl
|
|---|
| 5757 | n "CLK"
|
|---|
| 5758 | t "std_logic"
|
|---|
| 5759 | o 1
|
|---|
| 5760 | suid 6,0
|
|---|
| 5761 | )
|
|---|
| 5762 | )
|
|---|
| 5763 | )
|
|---|
| 5764 | ]
|
|---|
| 5765 | shape (Rectangle
|
|---|
| 5766 | uid 4195,0
|
|---|
| 5767 | va (VaSet
|
|---|
| 5768 | vasetType 1
|
|---|
| 5769 | fg "0,65535,0"
|
|---|
| 5770 | lineColor "0,32896,0"
|
|---|
| 5771 | lineWidth 2
|
|---|
| 5772 | )
|
|---|
| 5773 | xt "-20000,18000,-2000,25000"
|
|---|
| 5774 | )
|
|---|
| 5775 | oxt "28000,13000,46000,20000"
|
|---|
| 5776 | ttg (MlTextGroup
|
|---|
| 5777 | uid 4196,0
|
|---|
| 5778 | ps "CenterOffsetStrategy"
|
|---|
| 5779 | stg "VerticalLayoutStrategy"
|
|---|
| 5780 | textVec [
|
|---|
| 5781 | *170 (Text
|
|---|
| 5782 | uid 4197,0
|
|---|
| 5783 | va (VaSet
|
|---|
| 5784 | font "arial,8,1"
|
|---|
| 5785 | )
|
|---|
| 5786 | xt "-13800,26000,-7600,27000"
|
|---|
| 5787 | st "FACT_FAD_lib"
|
|---|
| 5788 | blo "-13800,26800"
|
|---|
| 5789 | tm "BdLibraryNameMgr"
|
|---|
| 5790 | )
|
|---|
| 5791 | *171 (Text
|
|---|
| 5792 | uid 4198,0
|
|---|
| 5793 | va (VaSet
|
|---|
| 5794 | font "arial,8,1"
|
|---|
| 5795 | )
|
|---|
| 5796 | xt "-13800,27000,-7100,28000"
|
|---|
| 5797 | st "clock_generator"
|
|---|
| 5798 | blo "-13800,27800"
|
|---|
| 5799 | tm "CptNameMgr"
|
|---|
| 5800 | )
|
|---|
| 5801 | *172 (Text
|
|---|
| 5802 | uid 4199,0
|
|---|
| 5803 | va (VaSet
|
|---|
| 5804 | font "arial,8,1"
|
|---|
| 5805 | )
|
|---|
| 5806 | xt "-13800,28000,-6500,29000"
|
|---|
| 5807 | st "I_main_clock_gen"
|
|---|
| 5808 | blo "-13800,28800"
|
|---|
| 5809 | tm "InstanceNameMgr"
|
|---|
| 5810 | )
|
|---|
| 5811 | ]
|
|---|
| 5812 | )
|
|---|
| 5813 | ga (GenericAssociation
|
|---|
| 5814 | uid 4200,0
|
|---|
| 5815 | ps "EdgeToEdgeStrategy"
|
|---|
| 5816 | matrix (Matrix
|
|---|
| 5817 | uid 4201,0
|
|---|
| 5818 | text (MLText
|
|---|
| 5819 | uid 4202,0
|
|---|
| 5820 | va (VaSet
|
|---|
| 5821 | font "Courier New,8,0"
|
|---|
| 5822 | )
|
|---|
| 5823 | xt "-35000,24000,-35000,24000"
|
|---|
| 5824 | )
|
|---|
| 5825 | header ""
|
|---|
| 5826 | )
|
|---|
| 5827 | elements [
|
|---|
| 5828 | ]
|
|---|
| 5829 | )
|
|---|
| 5830 | viewicon (ZoomableIcon
|
|---|
| 5831 | uid 4203,0
|
|---|
| 5832 | sl 0
|
|---|
| 5833 | va (VaSet
|
|---|
| 5834 | vasetType 1
|
|---|
| 5835 | fg "49152,49152,49152"
|
|---|
| 5836 | )
|
|---|
| 5837 | xt "-19750,23250,-18250,24750"
|
|---|
| 5838 | iconName "BlockDiagram.png"
|
|---|
| 5839 | iconMaskName "BlockDiagram.msk"
|
|---|
| 5840 | ftype 1
|
|---|
| 5841 | )
|
|---|
| 5842 | viewiconposition 0
|
|---|
| 5843 | portVis (PortSigDisplay
|
|---|
| 5844 | sIVOD 1
|
|---|
| 5845 | )
|
|---|
| 5846 | archFileType "UNKNOWN"
|
|---|
| 5847 | )
|
|---|
| 5848 | *173 (Net
|
|---|
| 5849 | uid 4204,0
|
|---|
| 5850 | decl (Decl
|
|---|
| 5851 | n "CLK_25"
|
|---|
| 5852 | t "std_logic"
|
|---|
| 5853 | o 32
|
|---|
| 5854 | suid 91,0
|
|---|
| 5855 | )
|
|---|
| 5856 | declText (MLText
|
|---|
| 5857 | uid 4205,0
|
|---|
| 5858 | va (VaSet
|
|---|
| 5859 | font "Courier New,8,0"
|
|---|
| 5860 | )
|
|---|
| 5861 | xt "-85000,45000,-62500,45800"
|
|---|
| 5862 | st "SIGNAL CLK_25 : std_logic"
|
|---|
| 5863 | )
|
|---|
| 5864 | )
|
|---|
| 5865 | *174 (PortIoOut
|
|---|
| 5866 | uid 4220,0
|
|---|
| 5867 | shape (CompositeShape
|
|---|
| 5868 | uid 4221,0
|
|---|
| 5869 | va (VaSet
|
|---|
| 5870 | vasetType 1
|
|---|
| 5871 | fg "0,0,32768"
|
|---|
| 5872 | )
|
|---|
| 5873 | optionalChildren [
|
|---|
| 5874 | (Pentagon
|
|---|
| 5875 | uid 4222,0
|
|---|
| 5876 | sl 0
|
|---|
| 5877 | ro 90
|
|---|
| 5878 | xt "-28000,33625,-26500,34375"
|
|---|
| 5879 | )
|
|---|
| 5880 | (Line
|
|---|
| 5881 | uid 4223,0
|
|---|
| 5882 | sl 0
|
|---|
| 5883 | ro 90
|
|---|
| 5884 | xt "-26500,34000,-26000,34000"
|
|---|
| 5885 | pts [
|
|---|
| 5886 | "-26000,34000"
|
|---|
| 5887 | "-26500,34000"
|
|---|
| 5888 | ]
|
|---|
| 5889 | )
|
|---|
| 5890 | ]
|
|---|
| 5891 | )
|
|---|
| 5892 | stc 0
|
|---|
| 5893 | sf 1
|
|---|
| 5894 | tg (WTG
|
|---|
| 5895 | uid 4224,0
|
|---|
| 5896 | ps "PortIoTextPlaceStrategy"
|
|---|
| 5897 | stg "STSignalDisplayStrategy"
|
|---|
| 5898 | f (Text
|
|---|
| 5899 | uid 4225,0
|
|---|
| 5900 | va (VaSet
|
|---|
| 5901 | )
|
|---|
| 5902 | xt "-32100,33500,-29000,34500"
|
|---|
| 5903 | st "CLK_50"
|
|---|
| 5904 | ju 2
|
|---|
| 5905 | blo "-29000,34300"
|
|---|
| 5906 | tm "WireNameMgr"
|
|---|
| 5907 | )
|
|---|
| 5908 | )
|
|---|
| 5909 | )
|
|---|
| 5910 | *175 (Net
|
|---|
| 5911 | uid 4232,0
|
|---|
| 5912 | decl (Decl
|
|---|
| 5913 | n "CLK"
|
|---|
| 5914 | t "std_logic"
|
|---|
| 5915 | o 1
|
|---|
| 5916 | suid 92,0
|
|---|
| 5917 | )
|
|---|
| 5918 | declText (MLText
|
|---|
| 5919 | uid 4233,0
|
|---|
| 5920 | va (VaSet
|
|---|
| 5921 | font "Courier New,8,0"
|
|---|
| 5922 | )
|
|---|
| 5923 | xt "-85000,18200,-66500,19000"
|
|---|
| 5924 | st "CLK : std_logic"
|
|---|
| 5925 | )
|
|---|
| 5926 | )
|
|---|
| 5927 | *176 (Net
|
|---|
| 5928 | uid 4260,0
|
|---|
| 5929 | decl (Decl
|
|---|
| 5930 | n "adc_otr_array"
|
|---|
| 5931 | t "std_logic_vector"
|
|---|
| 5932 | b "(3 DOWNTO 0)"
|
|---|
| 5933 | o 7
|
|---|
| 5934 | suid 95,0
|
|---|
| 5935 | )
|
|---|
| 5936 | declText (MLText
|
|---|
| 5937 | uid 4261,0
|
|---|
| 5938 | va (VaSet
|
|---|
| 5939 | font "Courier New,8,0"
|
|---|
| 5940 | )
|
|---|
| 5941 | xt "-85000,23000,-56500,23800"
|
|---|
| 5942 | st "adc_otr_array : std_logic_vector(3 DOWNTO 0)"
|
|---|
| 5943 | )
|
|---|
| 5944 | )
|
|---|
| 5945 | *177 (Net
|
|---|
| 5946 | uid 4270,0
|
|---|
| 5947 | decl (Decl
|
|---|
| 5948 | n "adc_data_array"
|
|---|
| 5949 | t "adc_data_array_type"
|
|---|
| 5950 | o 6
|
|---|
| 5951 | suid 96,0
|
|---|
| 5952 | )
|
|---|
| 5953 | declText (MLText
|
|---|
| 5954 | uid 4271,0
|
|---|
| 5955 | va (VaSet
|
|---|
| 5956 | font "Courier New,8,0"
|
|---|
| 5957 | )
|
|---|
| 5958 | xt "-85000,22200,-61000,23000"
|
|---|
| 5959 | st "adc_data_array : adc_data_array_type"
|
|---|
| 5960 | )
|
|---|
| 5961 | )
|
|---|
| 5962 | *178 (PortIoIn
|
|---|
| 5963 | uid 4307,0
|
|---|
| 5964 | shape (CompositeShape
|
|---|
| 5965 | uid 4308,0
|
|---|
| 5966 | va (VaSet
|
|---|
| 5967 | vasetType 1
|
|---|
| 5968 | fg "0,0,32768"
|
|---|
| 5969 | )
|
|---|
| 5970 | optionalChildren [
|
|---|
| 5971 | (Pentagon
|
|---|
| 5972 | uid 4309,0
|
|---|
| 5973 | sl 0
|
|---|
| 5974 | ro 270
|
|---|
| 5975 | xt "-28000,75625,-26500,76375"
|
|---|
| 5976 | )
|
|---|
| 5977 | (Line
|
|---|
| 5978 | uid 4310,0
|
|---|
| 5979 | sl 0
|
|---|
| 5980 | ro 270
|
|---|
| 5981 | xt "-26500,76000,-26000,76000"
|
|---|
| 5982 | pts [
|
|---|
| 5983 | "-26500,76000"
|
|---|
| 5984 | "-26000,76000"
|
|---|
| 5985 | ]
|
|---|
| 5986 | )
|
|---|
| 5987 | ]
|
|---|
| 5988 | )
|
|---|
| 5989 | stc 0
|
|---|
| 5990 | sf 1
|
|---|
| 5991 | tg (WTG
|
|---|
| 5992 | uid 4311,0
|
|---|
| 5993 | ps "PortIoTextPlaceStrategy"
|
|---|
| 5994 | stg "STSignalDisplayStrategy"
|
|---|
| 5995 | f (Text
|
|---|
| 5996 | uid 4312,0
|
|---|
| 5997 | va (VaSet
|
|---|
| 5998 | )
|
|---|
| 5999 | xt "-34900,75500,-29000,76500"
|
|---|
| 6000 | st "adc_data_array"
|
|---|
| 6001 | ju 2
|
|---|
| 6002 | blo "-29000,76300"
|
|---|
| 6003 | tm "WireNameMgr"
|
|---|
| 6004 | )
|
|---|
| 6005 | )
|
|---|
| 6006 | )
|
|---|
| 6007 | *179 (Net
|
|---|
| 6008 | uid 4399,0
|
|---|
| 6009 | decl (Decl
|
|---|
| 6010 | n "drs_clk_en"
|
|---|
| 6011 | t "std_logic"
|
|---|
| 6012 | o 54
|
|---|
| 6013 | suid 97,0
|
|---|
| 6014 | i "'0'"
|
|---|
| 6015 | )
|
|---|
| 6016 | declText (MLText
|
|---|
| 6017 | uid 4400,0
|
|---|
| 6018 | va (VaSet
|
|---|
| 6019 | font "Courier New,8,0"
|
|---|
| 6020 | )
|
|---|
| 6021 | xt "-85000,62600,-41500,63400"
|
|---|
| 6022 | st "SIGNAL drs_clk_en : std_logic := '0'"
|
|---|
| 6023 | )
|
|---|
| 6024 | )
|
|---|
| 6025 | *180 (Net
|
|---|
| 6026 | uid 4405,0
|
|---|
| 6027 | decl (Decl
|
|---|
| 6028 | n "drs_s_cell_array"
|
|---|
| 6029 | t "drs_s_cell_array_type"
|
|---|
| 6030 | o 57
|
|---|
| 6031 | suid 98,0
|
|---|
| 6032 | )
|
|---|
| 6033 | declText (MLText
|
|---|
| 6034 | uid 4406,0
|
|---|
| 6035 | va (VaSet
|
|---|
| 6036 | font "Courier New,8,0"
|
|---|
| 6037 | )
|
|---|
| 6038 | xt "-85000,65000,-56500,65800"
|
|---|
| 6039 | st "SIGNAL drs_s_cell_array : drs_s_cell_array_type"
|
|---|
| 6040 | )
|
|---|
| 6041 | )
|
|---|
| 6042 | *181 (Net
|
|---|
| 6043 | uid 4417,0
|
|---|
| 6044 | decl (Decl
|
|---|
| 6045 | n "drs_read_s_cell"
|
|---|
| 6046 | t "std_logic"
|
|---|
| 6047 | o 55
|
|---|
| 6048 | suid 100,0
|
|---|
| 6049 | i "'0'"
|
|---|
| 6050 | )
|
|---|
| 6051 | declText (MLText
|
|---|
| 6052 | uid 4418,0
|
|---|
| 6053 | va (VaSet
|
|---|
| 6054 | font "Courier New,8,0"
|
|---|
| 6055 | )
|
|---|
| 6056 | xt "-85000,63400,-41500,64200"
|
|---|
| 6057 | st "SIGNAL drs_read_s_cell : std_logic := '0'"
|
|---|
| 6058 | )
|
|---|
| 6059 | )
|
|---|
| 6060 | *182 (Net
|
|---|
| 6061 | uid 4535,0
|
|---|
| 6062 | decl (Decl
|
|---|
| 6063 | n "drs_channel_id"
|
|---|
| 6064 | t "std_logic_vector"
|
|---|
| 6065 | b "(3 downto 0)"
|
|---|
| 6066 | o 19
|
|---|
| 6067 | suid 109,0
|
|---|
| 6068 | i "(others => '0')"
|
|---|
| 6069 | )
|
|---|
| 6070 | declText (MLText
|
|---|
| 6071 | uid 4536,0
|
|---|
| 6072 | va (VaSet
|
|---|
| 6073 | font "Courier New,8,0"
|
|---|
| 6074 | )
|
|---|
| 6075 | xt "-85000,32600,-39000,33400"
|
|---|
| 6076 | st "drs_channel_id : std_logic_vector(3 downto 0) := (others => '0')"
|
|---|
| 6077 | )
|
|---|
| 6078 | )
|
|---|
| 6079 | *183 (Net
|
|---|
| 6080 | uid 4543,0
|
|---|
| 6081 | decl (Decl
|
|---|
| 6082 | n "drs_dwrite"
|
|---|
| 6083 | t "std_logic"
|
|---|
| 6084 | o 20
|
|---|
| 6085 | suid 110,0
|
|---|
| 6086 | i "'1'"
|
|---|
| 6087 | )
|
|---|
| 6088 | declText (MLText
|
|---|
| 6089 | uid 4544,0
|
|---|
| 6090 | va (VaSet
|
|---|
| 6091 | font "Courier New,8,0"
|
|---|
| 6092 | )
|
|---|
| 6093 | xt "-85000,33400,-45000,34200"
|
|---|
| 6094 | st "drs_dwrite : std_logic := '1'"
|
|---|
| 6095 | )
|
|---|
| 6096 | )
|
|---|
| 6097 | *184 (PortIoOut
|
|---|
| 6098 | uid 4551,0
|
|---|
| 6099 | shape (CompositeShape
|
|---|
| 6100 | uid 4552,0
|
|---|
| 6101 | va (VaSet
|
|---|
| 6102 | vasetType 1
|
|---|
| 6103 | fg "0,0,32768"
|
|---|
| 6104 | )
|
|---|
| 6105 | optionalChildren [
|
|---|
| 6106 | (Pentagon
|
|---|
| 6107 | uid 4553,0
|
|---|
| 6108 | sl 0
|
|---|
| 6109 | ro 90
|
|---|
| 6110 | xt "-28000,56625,-26500,57375"
|
|---|
| 6111 | )
|
|---|
| 6112 | (Line
|
|---|
| 6113 | uid 4554,0
|
|---|
| 6114 | sl 0
|
|---|
| 6115 | ro 90
|
|---|
| 6116 | xt "-26500,57000,-26000,57000"
|
|---|
| 6117 | pts [
|
|---|
| 6118 | "-26000,57000"
|
|---|
| 6119 | "-26500,57000"
|
|---|
| 6120 | ]
|
|---|
| 6121 | )
|
|---|
| 6122 | ]
|
|---|
| 6123 | )
|
|---|
| 6124 | stc 0
|
|---|
| 6125 | sf 1
|
|---|
| 6126 | tg (WTG
|
|---|
| 6127 | uid 4555,0
|
|---|
| 6128 | ps "PortIoTextPlaceStrategy"
|
|---|
| 6129 | stg "STSignalDisplayStrategy"
|
|---|
| 6130 | f (Text
|
|---|
| 6131 | uid 4556,0
|
|---|
| 6132 | va (VaSet
|
|---|
| 6133 | )
|
|---|
| 6134 | xt "-34900,56500,-29000,57500"
|
|---|
| 6135 | st "drs_channel_id"
|
|---|
| 6136 | ju 2
|
|---|
| 6137 | blo "-29000,57300"
|
|---|
| 6138 | tm "WireNameMgr"
|
|---|
| 6139 | )
|
|---|
| 6140 | )
|
|---|
| 6141 | )
|
|---|
| 6142 | *185 (PortIoOut
|
|---|
| 6143 | uid 4557,0
|
|---|
| 6144 | shape (CompositeShape
|
|---|
| 6145 | uid 4558,0
|
|---|
| 6146 | va (VaSet
|
|---|
| 6147 | vasetType 1
|
|---|
| 6148 | fg "0,0,32768"
|
|---|
| 6149 | )
|
|---|
| 6150 | optionalChildren [
|
|---|
| 6151 | (Pentagon
|
|---|
| 6152 | uid 4559,0
|
|---|
| 6153 | sl 0
|
|---|
| 6154 | ro 90
|
|---|
| 6155 | xt "-28000,59625,-26500,60375"
|
|---|
| 6156 | )
|
|---|
| 6157 | (Line
|
|---|
| 6158 | uid 4560,0
|
|---|
| 6159 | sl 0
|
|---|
| 6160 | ro 90
|
|---|
| 6161 | xt "-26500,60000,-26000,60000"
|
|---|
| 6162 | pts [
|
|---|
| 6163 | "-26000,60000"
|
|---|
| 6164 | "-26500,60000"
|
|---|
| 6165 | ]
|
|---|
| 6166 | )
|
|---|
| 6167 | ]
|
|---|
| 6168 | )
|
|---|
| 6169 | stc 0
|
|---|
| 6170 | sf 1
|
|---|
| 6171 | tg (WTG
|
|---|
| 6172 | uid 4561,0
|
|---|
| 6173 | ps "PortIoTextPlaceStrategy"
|
|---|
| 6174 | stg "STSignalDisplayStrategy"
|
|---|
| 6175 | f (Text
|
|---|
| 6176 | uid 4562,0
|
|---|
| 6177 | va (VaSet
|
|---|
| 6178 | )
|
|---|
| 6179 | xt "-33300,59500,-29000,60500"
|
|---|
| 6180 | st "drs_dwrite"
|
|---|
| 6181 | ju 2
|
|---|
| 6182 | blo "-29000,60300"
|
|---|
| 6183 | tm "WireNameMgr"
|
|---|
| 6184 | )
|
|---|
| 6185 | )
|
|---|
| 6186 | )
|
|---|
| 6187 | *186 (Net
|
|---|
| 6188 | uid 4669,0
|
|---|
| 6189 | decl (Decl
|
|---|
| 6190 | n "SROUT_in_0"
|
|---|
| 6191 | t "std_logic"
|
|---|
| 6192 | o 2
|
|---|
| 6193 | suid 112,0
|
|---|
| 6194 | )
|
|---|
| 6195 | declText (MLText
|
|---|
| 6196 | uid 4670,0
|
|---|
| 6197 | va (VaSet
|
|---|
| 6198 | font "Courier New,8,0"
|
|---|
| 6199 | )
|
|---|
| 6200 | xt "-85000,19000,-66500,19800"
|
|---|
| 6201 | st "SROUT_in_0 : std_logic"
|
|---|
| 6202 | )
|
|---|
| 6203 | )
|
|---|
| 6204 | *187 (Net
|
|---|
| 6205 | uid 4677,0
|
|---|
| 6206 | decl (Decl
|
|---|
| 6207 | n "SROUT_in_1"
|
|---|
| 6208 | t "std_logic"
|
|---|
| 6209 | o 3
|
|---|
| 6210 | suid 113,0
|
|---|
| 6211 | )
|
|---|
| 6212 | declText (MLText
|
|---|
| 6213 | uid 4678,0
|
|---|
| 6214 | va (VaSet
|
|---|
| 6215 | font "Courier New,8,0"
|
|---|
| 6216 | )
|
|---|
| 6217 | xt "-85000,19800,-66500,20600"
|
|---|
| 6218 | st "SROUT_in_1 : std_logic"
|
|---|
| 6219 | )
|
|---|
| 6220 | )
|
|---|
| 6221 | *188 (Net
|
|---|
| 6222 | uid 4685,0
|
|---|
| 6223 | decl (Decl
|
|---|
| 6224 | n "SROUT_in_2"
|
|---|
| 6225 | t "std_logic"
|
|---|
| 6226 | o 4
|
|---|
| 6227 | suid 114,0
|
|---|
| 6228 | )
|
|---|
| 6229 | declText (MLText
|
|---|
| 6230 | uid 4686,0
|
|---|
| 6231 | va (VaSet
|
|---|
| 6232 | font "Courier New,8,0"
|
|---|
| 6233 | )
|
|---|
| 6234 | xt "-85000,20600,-66500,21400"
|
|---|
| 6235 | st "SROUT_in_2 : std_logic"
|
|---|
| 6236 | )
|
|---|
| 6237 | )
|
|---|
| 6238 | *189 (Net
|
|---|
| 6239 | uid 4693,0
|
|---|
| 6240 | decl (Decl
|
|---|
| 6241 | n "SROUT_in_3"
|
|---|
| 6242 | t "std_logic"
|
|---|
| 6243 | o 5
|
|---|
| 6244 | suid 115,0
|
|---|
| 6245 | )
|
|---|
| 6246 | declText (MLText
|
|---|
| 6247 | uid 4694,0
|
|---|
| 6248 | va (VaSet
|
|---|
| 6249 | font "Courier New,8,0"
|
|---|
| 6250 | )
|
|---|
| 6251 | xt "-85000,21400,-66500,22200"
|
|---|
| 6252 | st "SROUT_in_3 : std_logic"
|
|---|
| 6253 | )
|
|---|
| 6254 | )
|
|---|
| 6255 | *190 (PortIoIn
|
|---|
| 6256 | uid 4701,0
|
|---|
| 6257 | shape (CompositeShape
|
|---|
| 6258 | uid 4702,0
|
|---|
| 6259 | va (VaSet
|
|---|
| 6260 | vasetType 1
|
|---|
| 6261 | fg "0,0,32768"
|
|---|
| 6262 | )
|
|---|
| 6263 | optionalChildren [
|
|---|
| 6264 | (Pentagon
|
|---|
| 6265 | uid 4703,0
|
|---|
| 6266 | sl 0
|
|---|
| 6267 | ro 270
|
|---|
| 6268 | xt "-28000,46625,-26500,47375"
|
|---|
| 6269 | )
|
|---|
| 6270 | (Line
|
|---|
| 6271 | uid 4704,0
|
|---|
| 6272 | sl 0
|
|---|
| 6273 | ro 270
|
|---|
| 6274 | xt "-26500,47000,-26000,47000"
|
|---|
| 6275 | pts [
|
|---|
| 6276 | "-26500,47000"
|
|---|
| 6277 | "-26000,47000"
|
|---|
| 6278 | ]
|
|---|
| 6279 | )
|
|---|
| 6280 | ]
|
|---|
| 6281 | )
|
|---|
| 6282 | stc 0
|
|---|
| 6283 | sf 1
|
|---|
| 6284 | tg (WTG
|
|---|
| 6285 | uid 4705,0
|
|---|
| 6286 | ps "PortIoTextPlaceStrategy"
|
|---|
| 6287 | stg "STSignalDisplayStrategy"
|
|---|
| 6288 | f (Text
|
|---|
| 6289 | uid 4706,0
|
|---|
| 6290 | va (VaSet
|
|---|
| 6291 | )
|
|---|
| 6292 | xt "-34400,46500,-29000,47500"
|
|---|
| 6293 | st "SROUT_in_0"
|
|---|
| 6294 | ju 2
|
|---|
| 6295 | blo "-29000,47300"
|
|---|
| 6296 | tm "WireNameMgr"
|
|---|
| 6297 | )
|
|---|
| 6298 | )
|
|---|
| 6299 | )
|
|---|
| 6300 | *191 (PortIoIn
|
|---|
| 6301 | uid 4707,0
|
|---|
| 6302 | shape (CompositeShape
|
|---|
| 6303 | uid 4708,0
|
|---|
| 6304 | va (VaSet
|
|---|
| 6305 | vasetType 1
|
|---|
| 6306 | fg "0,0,32768"
|
|---|
| 6307 | )
|
|---|
| 6308 | optionalChildren [
|
|---|
| 6309 | (Pentagon
|
|---|
| 6310 | uid 4709,0
|
|---|
| 6311 | sl 0
|
|---|
| 6312 | ro 270
|
|---|
| 6313 | xt "-28000,47625,-26500,48375"
|
|---|
| 6314 | )
|
|---|
| 6315 | (Line
|
|---|
| 6316 | uid 4710,0
|
|---|
| 6317 | sl 0
|
|---|
| 6318 | ro 270
|
|---|
| 6319 | xt "-26500,48000,-26000,48000"
|
|---|
| 6320 | pts [
|
|---|
| 6321 | "-26500,48000"
|
|---|
| 6322 | "-26000,48000"
|
|---|
| 6323 | ]
|
|---|
| 6324 | )
|
|---|
| 6325 | ]
|
|---|
| 6326 | )
|
|---|
| 6327 | stc 0
|
|---|
| 6328 | sf 1
|
|---|
| 6329 | tg (WTG
|
|---|
| 6330 | uid 4711,0
|
|---|
| 6331 | ps "PortIoTextPlaceStrategy"
|
|---|
| 6332 | stg "STSignalDisplayStrategy"
|
|---|
| 6333 | f (Text
|
|---|
| 6334 | uid 4712,0
|
|---|
| 6335 | va (VaSet
|
|---|
| 6336 | )
|
|---|
| 6337 | xt "-34400,47500,-29000,48500"
|
|---|
| 6338 | st "SROUT_in_1"
|
|---|
| 6339 | ju 2
|
|---|
| 6340 | blo "-29000,48300"
|
|---|
| 6341 | tm "WireNameMgr"
|
|---|
| 6342 | )
|
|---|
| 6343 | )
|
|---|
| 6344 | )
|
|---|
| 6345 | *192 (PortIoIn
|
|---|
| 6346 | uid 4713,0
|
|---|
| 6347 | shape (CompositeShape
|
|---|
| 6348 | uid 4714,0
|
|---|
| 6349 | va (VaSet
|
|---|
| 6350 | vasetType 1
|
|---|
| 6351 | fg "0,0,32768"
|
|---|
| 6352 | )
|
|---|
| 6353 | optionalChildren [
|
|---|
| 6354 | (Pentagon
|
|---|
| 6355 | uid 4715,0
|
|---|
| 6356 | sl 0
|
|---|
| 6357 | ro 270
|
|---|
| 6358 | xt "-28000,48625,-26500,49375"
|
|---|
| 6359 | )
|
|---|
| 6360 | (Line
|
|---|
| 6361 | uid 4716,0
|
|---|
| 6362 | sl 0
|
|---|
| 6363 | ro 270
|
|---|
| 6364 | xt "-26500,49000,-26000,49000"
|
|---|
| 6365 | pts [
|
|---|
| 6366 | "-26500,49000"
|
|---|
| 6367 | "-26000,49000"
|
|---|
| 6368 | ]
|
|---|
| 6369 | )
|
|---|
| 6370 | ]
|
|---|
| 6371 | )
|
|---|
| 6372 | stc 0
|
|---|
| 6373 | sf 1
|
|---|
| 6374 | tg (WTG
|
|---|
| 6375 | uid 4717,0
|
|---|
| 6376 | ps "PortIoTextPlaceStrategy"
|
|---|
| 6377 | stg "STSignalDisplayStrategy"
|
|---|
| 6378 | f (Text
|
|---|
| 6379 | uid 4718,0
|
|---|
| 6380 | va (VaSet
|
|---|
| 6381 | )
|
|---|
| 6382 | xt "-34400,48500,-29000,49500"
|
|---|
| 6383 | st "SROUT_in_2"
|
|---|
| 6384 | ju 2
|
|---|
| 6385 | blo "-29000,49300"
|
|---|
| 6386 | tm "WireNameMgr"
|
|---|
| 6387 | )
|
|---|
| 6388 | )
|
|---|
| 6389 | )
|
|---|
| 6390 | *193 (PortIoIn
|
|---|
| 6391 | uid 4719,0
|
|---|
| 6392 | shape (CompositeShape
|
|---|
| 6393 | uid 4720,0
|
|---|
| 6394 | va (VaSet
|
|---|
| 6395 | vasetType 1
|
|---|
| 6396 | fg "0,0,32768"
|
|---|
| 6397 | )
|
|---|
| 6398 | optionalChildren [
|
|---|
| 6399 | (Pentagon
|
|---|
| 6400 | uid 4721,0
|
|---|
| 6401 | sl 0
|
|---|
| 6402 | ro 270
|
|---|
| 6403 | xt "-28000,49625,-26500,50375"
|
|---|
| 6404 | )
|
|---|
| 6405 | (Line
|
|---|
| 6406 | uid 4722,0
|
|---|
| 6407 | sl 0
|
|---|
| 6408 | ro 270
|
|---|
| 6409 | xt "-26500,50000,-26000,50000"
|
|---|
| 6410 | pts [
|
|---|
| 6411 | "-26500,50000"
|
|---|
| 6412 | "-26000,50000"
|
|---|
| 6413 | ]
|
|---|
| 6414 | )
|
|---|
| 6415 | ]
|
|---|
| 6416 | )
|
|---|
| 6417 | stc 0
|
|---|
| 6418 | sf 1
|
|---|
| 6419 | tg (WTG
|
|---|
| 6420 | uid 4723,0
|
|---|
| 6421 | ps "PortIoTextPlaceStrategy"
|
|---|
| 6422 | stg "STSignalDisplayStrategy"
|
|---|
| 6423 | f (Text
|
|---|
| 6424 | uid 4724,0
|
|---|
| 6425 | va (VaSet
|
|---|
| 6426 | )
|
|---|
| 6427 | xt "-34400,49500,-29000,50500"
|
|---|
| 6428 | st "SROUT_in_3"
|
|---|
| 6429 | ju 2
|
|---|
| 6430 | blo "-29000,50300"
|
|---|
| 6431 | tm "WireNameMgr"
|
|---|
| 6432 | )
|
|---|
| 6433 | )
|
|---|
| 6434 | )
|
|---|
| 6435 | *194 (Net
|
|---|
| 6436 | uid 4741,0
|
|---|
| 6437 | decl (Decl
|
|---|
| 6438 | n "drs_read_s_cell_ready"
|
|---|
| 6439 | t "std_logic"
|
|---|
| 6440 | o 56
|
|---|
| 6441 | suid 116,0
|
|---|
| 6442 | )
|
|---|
| 6443 | declText (MLText
|
|---|
| 6444 | uid 4742,0
|
|---|
| 6445 | va (VaSet
|
|---|
| 6446 | font "Courier New,8,0"
|
|---|
| 6447 | )
|
|---|
| 6448 | xt "-85000,64200,-62500,65000"
|
|---|
| 6449 | st "SIGNAL drs_read_s_cell_ready : std_logic"
|
|---|
| 6450 | )
|
|---|
| 6451 | )
|
|---|
| 6452 | *195 (SaComponent
|
|---|
| 6453 | uid 4903,0
|
|---|
| 6454 | optionalChildren [
|
|---|
| 6455 | *196 (CptPort
|
|---|
| 6456 | uid 4867,0
|
|---|
| 6457 | ps "OnEdgeStrategy"
|
|---|
| 6458 | shape (Triangle
|
|---|
| 6459 | uid 4868,0
|
|---|
| 6460 | ro 90
|
|---|
| 6461 | va (VaSet
|
|---|
| 6462 | vasetType 1
|
|---|
| 6463 | fg "0,65535,0"
|
|---|
| 6464 | )
|
|---|
| 6465 | xt "-18750,44625,-18000,45375"
|
|---|
| 6466 | )
|
|---|
| 6467 | tg (CPTG
|
|---|
| 6468 | uid 4869,0
|
|---|
| 6469 | ps "CptPortTextPlaceStrategy"
|
|---|
| 6470 | stg "VerticalLayoutStrategy"
|
|---|
| 6471 | f (Text
|
|---|
| 6472 | uid 4870,0
|
|---|
| 6473 | va (VaSet
|
|---|
| 6474 | )
|
|---|
| 6475 | xt "-17000,44500,-15100,45500"
|
|---|
| 6476 | st "CLK"
|
|---|
| 6477 | blo "-17000,45300"
|
|---|
| 6478 | )
|
|---|
| 6479 | )
|
|---|
| 6480 | thePort (LogicalPort
|
|---|
| 6481 | decl (Decl
|
|---|
| 6482 | n "CLK"
|
|---|
| 6483 | t "std_logic"
|
|---|
| 6484 | o 1
|
|---|
| 6485 | )
|
|---|
| 6486 | )
|
|---|
| 6487 | )
|
|---|
| 6488 | *197 (CptPort
|
|---|
| 6489 | uid 4871,0
|
|---|
| 6490 | ps "OnEdgeStrategy"
|
|---|
| 6491 | shape (Triangle
|
|---|
| 6492 | uid 4872,0
|
|---|
| 6493 | ro 270
|
|---|
| 6494 | va (VaSet
|
|---|
| 6495 | vasetType 1
|
|---|
| 6496 | fg "0,65535,0"
|
|---|
| 6497 | )
|
|---|
| 6498 | xt "1000,52625,1750,53375"
|
|---|
| 6499 | )
|
|---|
| 6500 | tg (CPTG
|
|---|
| 6501 | uid 4873,0
|
|---|
| 6502 | ps "CptPortTextPlaceStrategy"
|
|---|
| 6503 | stg "RightVerticalLayoutStrategy"
|
|---|
| 6504 | f (Text
|
|---|
| 6505 | uid 4874,0
|
|---|
| 6506 | va (VaSet
|
|---|
| 6507 | )
|
|---|
| 6508 | xt "-7500,52500,0,53500"
|
|---|
| 6509 | st "start_endless_mode"
|
|---|
| 6510 | ju 2
|
|---|
| 6511 | blo "0,53300"
|
|---|
| 6512 | )
|
|---|
| 6513 | )
|
|---|
| 6514 | thePort (LogicalPort
|
|---|
| 6515 | decl (Decl
|
|---|
| 6516 | n "start_endless_mode"
|
|---|
| 6517 | t "std_logic"
|
|---|
| 6518 | o 2
|
|---|
| 6519 | )
|
|---|
| 6520 | )
|
|---|
| 6521 | )
|
|---|
| 6522 | *198 (CptPort
|
|---|
| 6523 | uid 4875,0
|
|---|
| 6524 | ps "OnEdgeStrategy"
|
|---|
| 6525 | shape (Triangle
|
|---|
| 6526 | uid 4876,0
|
|---|
| 6527 | ro 270
|
|---|
| 6528 | va (VaSet
|
|---|
| 6529 | vasetType 1
|
|---|
| 6530 | fg "0,65535,0"
|
|---|
| 6531 | )
|
|---|
| 6532 | xt "1000,49625,1750,50375"
|
|---|
| 6533 | )
|
|---|
| 6534 | tg (CPTG
|
|---|
| 6535 | uid 4877,0
|
|---|
| 6536 | ps "CptPortTextPlaceStrategy"
|
|---|
| 6537 | stg "RightVerticalLayoutStrategy"
|
|---|
| 6538 | f (Text
|
|---|
| 6539 | uid 4878,0
|
|---|
| 6540 | va (VaSet
|
|---|
| 6541 | )
|
|---|
| 6542 | xt "-10200,49500,0,50500"
|
|---|
| 6543 | st "start_read_stop_pos_mode"
|
|---|
| 6544 | ju 2
|
|---|
| 6545 | blo "0,50300"
|
|---|
| 6546 | )
|
|---|
| 6547 | )
|
|---|
| 6548 | thePort (LogicalPort
|
|---|
| 6549 | decl (Decl
|
|---|
| 6550 | n "start_read_stop_pos_mode"
|
|---|
| 6551 | t "std_logic"
|
|---|
| 6552 | o 3
|
|---|
| 6553 | )
|
|---|
| 6554 | )
|
|---|
| 6555 | )
|
|---|
| 6556 | *199 (CptPort
|
|---|
| 6557 | uid 4879,0
|
|---|
| 6558 | ps "OnEdgeStrategy"
|
|---|
| 6559 | shape (Triangle
|
|---|
| 6560 | uid 4880,0
|
|---|
| 6561 | ro 90
|
|---|
| 6562 | va (VaSet
|
|---|
| 6563 | vasetType 1
|
|---|
| 6564 | fg "0,65535,0"
|
|---|
| 6565 | )
|
|---|
| 6566 | xt "-18750,46625,-18000,47375"
|
|---|
| 6567 | )
|
|---|
| 6568 | tg (CPTG
|
|---|
| 6569 | uid 4881,0
|
|---|
| 6570 | ps "CptPortTextPlaceStrategy"
|
|---|
| 6571 | stg "VerticalLayoutStrategy"
|
|---|
| 6572 | f (Text
|
|---|
| 6573 | uid 4882,0
|
|---|
| 6574 | va (VaSet
|
|---|
| 6575 | )
|
|---|
| 6576 | xt "-17000,46500,-11600,47500"
|
|---|
| 6577 | st "SROUT_in_0"
|
|---|
| 6578 | blo "-17000,47300"
|
|---|
| 6579 | )
|
|---|
| 6580 | )
|
|---|
| 6581 | thePort (LogicalPort
|
|---|
| 6582 | decl (Decl
|
|---|
| 6583 | n "SROUT_in_0"
|
|---|
| 6584 | t "std_logic"
|
|---|
| 6585 | o 4
|
|---|
| 6586 | )
|
|---|
| 6587 | )
|
|---|
| 6588 | )
|
|---|
| 6589 | *200 (CptPort
|
|---|
| 6590 | uid 4883,0
|
|---|
| 6591 | ps "OnEdgeStrategy"
|
|---|
| 6592 | shape (Triangle
|
|---|
| 6593 | uid 4884,0
|
|---|
| 6594 | ro 90
|
|---|
| 6595 | va (VaSet
|
|---|
| 6596 | vasetType 1
|
|---|
| 6597 | fg "0,65535,0"
|
|---|
| 6598 | )
|
|---|
| 6599 | xt "-18750,47625,-18000,48375"
|
|---|
| 6600 | )
|
|---|
| 6601 | tg (CPTG
|
|---|
| 6602 | uid 4885,0
|
|---|
| 6603 | ps "CptPortTextPlaceStrategy"
|
|---|
| 6604 | stg "VerticalLayoutStrategy"
|
|---|
| 6605 | f (Text
|
|---|
| 6606 | uid 4886,0
|
|---|
| 6607 | va (VaSet
|
|---|
| 6608 | )
|
|---|
| 6609 | xt "-17000,47500,-11600,48500"
|
|---|
| 6610 | st "SROUT_in_1"
|
|---|
| 6611 | blo "-17000,48300"
|
|---|
| 6612 | )
|
|---|
| 6613 | )
|
|---|
| 6614 | thePort (LogicalPort
|
|---|
| 6615 | decl (Decl
|
|---|
| 6616 | n "SROUT_in_1"
|
|---|
| 6617 | t "std_logic"
|
|---|
| 6618 | o 5
|
|---|
| 6619 | )
|
|---|
| 6620 | )
|
|---|
| 6621 | )
|
|---|
| 6622 | *201 (CptPort
|
|---|
| 6623 | uid 4887,0
|
|---|
| 6624 | ps "OnEdgeStrategy"
|
|---|
| 6625 | shape (Triangle
|
|---|
| 6626 | uid 4888,0
|
|---|
| 6627 | ro 90
|
|---|
| 6628 | va (VaSet
|
|---|
| 6629 | vasetType 1
|
|---|
| 6630 | fg "0,65535,0"
|
|---|
| 6631 | )
|
|---|
| 6632 | xt "-18750,48625,-18000,49375"
|
|---|
| 6633 | )
|
|---|
| 6634 | tg (CPTG
|
|---|
| 6635 | uid 4889,0
|
|---|
| 6636 | ps "CptPortTextPlaceStrategy"
|
|---|
| 6637 | stg "VerticalLayoutStrategy"
|
|---|
| 6638 | f (Text
|
|---|
| 6639 | uid 4890,0
|
|---|
| 6640 | va (VaSet
|
|---|
| 6641 | )
|
|---|
| 6642 | xt "-17000,48500,-11600,49500"
|
|---|
| 6643 | st "SROUT_in_2"
|
|---|
| 6644 | blo "-17000,49300"
|
|---|
| 6645 | )
|
|---|
| 6646 | )
|
|---|
| 6647 | thePort (LogicalPort
|
|---|
| 6648 | decl (Decl
|
|---|
| 6649 | n "SROUT_in_2"
|
|---|
| 6650 | t "std_logic"
|
|---|
| 6651 | o 6
|
|---|
| 6652 | )
|
|---|
| 6653 | )
|
|---|
| 6654 | )
|
|---|
| 6655 | *202 (CptPort
|
|---|
| 6656 | uid 4891,0
|
|---|
| 6657 | ps "OnEdgeStrategy"
|
|---|
| 6658 | shape (Triangle
|
|---|
| 6659 | uid 4892,0
|
|---|
| 6660 | ro 90
|
|---|
| 6661 | va (VaSet
|
|---|
| 6662 | vasetType 1
|
|---|
| 6663 | fg "0,65535,0"
|
|---|
| 6664 | )
|
|---|
| 6665 | xt "-18750,49625,-18000,50375"
|
|---|
| 6666 | )
|
|---|
| 6667 | tg (CPTG
|
|---|
| 6668 | uid 4893,0
|
|---|
| 6669 | ps "CptPortTextPlaceStrategy"
|
|---|
| 6670 | stg "VerticalLayoutStrategy"
|
|---|
| 6671 | f (Text
|
|---|
| 6672 | uid 4894,0
|
|---|
| 6673 | va (VaSet
|
|---|
| 6674 | )
|
|---|
| 6675 | xt "-17000,49500,-11600,50500"
|
|---|
| 6676 | st "SROUT_in_3"
|
|---|
| 6677 | blo "-17000,50300"
|
|---|
| 6678 | )
|
|---|
| 6679 | )
|
|---|
| 6680 | thePort (LogicalPort
|
|---|
| 6681 | decl (Decl
|
|---|
| 6682 | n "SROUT_in_3"
|
|---|
| 6683 | t "std_logic"
|
|---|
| 6684 | o 7
|
|---|
| 6685 | )
|
|---|
| 6686 | )
|
|---|
| 6687 | )
|
|---|
| 6688 | *203 (CptPort
|
|---|
| 6689 | uid 4895,0
|
|---|
| 6690 | ps "OnEdgeStrategy"
|
|---|
| 6691 | shape (Triangle
|
|---|
| 6692 | uid 4896,0
|
|---|
| 6693 | ro 90
|
|---|
| 6694 | va (VaSet
|
|---|
| 6695 | vasetType 1
|
|---|
| 6696 | fg "0,65535,0"
|
|---|
| 6697 | )
|
|---|
| 6698 | xt "1000,51625,1750,52375"
|
|---|
| 6699 | )
|
|---|
| 6700 | tg (CPTG
|
|---|
| 6701 | uid 4897,0
|
|---|
| 6702 | ps "CptPortTextPlaceStrategy"
|
|---|
| 6703 | stg "RightVerticalLayoutStrategy"
|
|---|
| 6704 | f (Text
|
|---|
| 6705 | uid 4898,0
|
|---|
| 6706 | va (VaSet
|
|---|
| 6707 | )
|
|---|
| 6708 | xt "-3400,51500,0,52500"
|
|---|
| 6709 | st "stop_pos"
|
|---|
| 6710 | ju 2
|
|---|
| 6711 | blo "0,52300"
|
|---|
| 6712 | )
|
|---|
| 6713 | )
|
|---|
| 6714 | thePort (LogicalPort
|
|---|
| 6715 | m 1
|
|---|
| 6716 | decl (Decl
|
|---|
| 6717 | n "stop_pos"
|
|---|
| 6718 | t "drs_s_cell_array_type"
|
|---|
| 6719 | o 8
|
|---|
| 6720 | )
|
|---|
| 6721 | )
|
|---|
| 6722 | )
|
|---|
| 6723 | *204 (CptPort
|
|---|
| 6724 | uid 4899,0
|
|---|
| 6725 | ps "OnEdgeStrategy"
|
|---|
| 6726 | shape (Triangle
|
|---|
| 6727 | uid 4900,0
|
|---|
| 6728 | ro 90
|
|---|
| 6729 | va (VaSet
|
|---|
| 6730 | vasetType 1
|
|---|
| 6731 | fg "0,65535,0"
|
|---|
| 6732 | )
|
|---|
| 6733 | xt "1000,50625,1750,51375"
|
|---|
| 6734 | )
|
|---|
| 6735 | tg (CPTG
|
|---|
| 6736 | uid 4901,0
|
|---|
| 6737 | ps "CptPortTextPlaceStrategy"
|
|---|
| 6738 | stg "RightVerticalLayoutStrategy"
|
|---|
| 6739 | f (Text
|
|---|
| 6740 | uid 4902,0
|
|---|
| 6741 | va (VaSet
|
|---|
| 6742 | )
|
|---|
| 6743 | xt "-5700,50500,0,51500"
|
|---|
| 6744 | st "stop_pos_valid"
|
|---|
| 6745 | ju 2
|
|---|
| 6746 | blo "0,51300"
|
|---|
| 6747 | )
|
|---|
| 6748 | )
|
|---|
| 6749 | thePort (LogicalPort
|
|---|
| 6750 | m 1
|
|---|
| 6751 | decl (Decl
|
|---|
| 6752 | n "stop_pos_valid"
|
|---|
| 6753 | t "std_logic"
|
|---|
| 6754 | o 9
|
|---|
| 6755 | i "'0'"
|
|---|
| 6756 | )
|
|---|
| 6757 | )
|
|---|
| 6758 | )
|
|---|
| 6759 | *205 (CptPort
|
|---|
| 6760 | uid 4938,0
|
|---|
| 6761 | ps "OnEdgeStrategy"
|
|---|
| 6762 | shape (Triangle
|
|---|
| 6763 | uid 4939,0
|
|---|
| 6764 | ro 270
|
|---|
| 6765 | va (VaSet
|
|---|
| 6766 | vasetType 1
|
|---|
| 6767 | fg "0,65535,0"
|
|---|
| 6768 | )
|
|---|
| 6769 | xt "-18750,50625,-18000,51375"
|
|---|
| 6770 | )
|
|---|
| 6771 | tg (CPTG
|
|---|
| 6772 | uid 4940,0
|
|---|
| 6773 | ps "CptPortTextPlaceStrategy"
|
|---|
| 6774 | stg "VerticalLayoutStrategy"
|
|---|
| 6775 | f (Text
|
|---|
| 6776 | uid 4941,0
|
|---|
| 6777 | va (VaSet
|
|---|
| 6778 | )
|
|---|
| 6779 | xt "-17000,50500,-12800,51500"
|
|---|
| 6780 | st "RSRLOAD"
|
|---|
| 6781 | blo "-17000,51300"
|
|---|
| 6782 | )
|
|---|
| 6783 | )
|
|---|
| 6784 | thePort (LogicalPort
|
|---|
| 6785 | m 1
|
|---|
| 6786 | decl (Decl
|
|---|
| 6787 | n "RSRLOAD"
|
|---|
| 6788 | t "std_logic"
|
|---|
| 6789 | o 10
|
|---|
| 6790 | i "'0'"
|
|---|
| 6791 | )
|
|---|
| 6792 | )
|
|---|
| 6793 | )
|
|---|
| 6794 | *206 (CptPort
|
|---|
| 6795 | uid 4942,0
|
|---|
| 6796 | ps "OnEdgeStrategy"
|
|---|
| 6797 | shape (Triangle
|
|---|
| 6798 | uid 4943,0
|
|---|
| 6799 | ro 270
|
|---|
| 6800 | va (VaSet
|
|---|
| 6801 | vasetType 1
|
|---|
| 6802 | fg "0,65535,0"
|
|---|
| 6803 | )
|
|---|
| 6804 | xt "-18750,51625,-18000,52375"
|
|---|
| 6805 | )
|
|---|
| 6806 | tg (CPTG
|
|---|
| 6807 | uid 4944,0
|
|---|
| 6808 | ps "CptPortTextPlaceStrategy"
|
|---|
| 6809 | stg "VerticalLayoutStrategy"
|
|---|
| 6810 | f (Text
|
|---|
| 6811 | uid 4945,0
|
|---|
| 6812 | va (VaSet
|
|---|
| 6813 | )
|
|---|
| 6814 | xt "-17000,51500,-14000,52500"
|
|---|
| 6815 | st "SRCLK"
|
|---|
| 6816 | blo "-17000,52300"
|
|---|
| 6817 | )
|
|---|
| 6818 | )
|
|---|
| 6819 | thePort (LogicalPort
|
|---|
| 6820 | m 1
|
|---|
| 6821 | decl (Decl
|
|---|
| 6822 | n "SRCLK"
|
|---|
| 6823 | t "std_logic"
|
|---|
| 6824 | o 11
|
|---|
| 6825 | i "'0'"
|
|---|
| 6826 | )
|
|---|
| 6827 | )
|
|---|
| 6828 | )
|
|---|
| 6829 | ]
|
|---|
| 6830 | shape (Rectangle
|
|---|
| 6831 | uid 4904,0
|
|---|
| 6832 | va (VaSet
|
|---|
| 6833 | vasetType 1
|
|---|
| 6834 | fg "0,65535,0"
|
|---|
| 6835 | lineColor "0,32896,0"
|
|---|
| 6836 | lineWidth 2
|
|---|
| 6837 | )
|
|---|
| 6838 | xt "-18000,44000,1000,54000"
|
|---|
| 6839 | )
|
|---|
| 6840 | oxt "0,0,8000,10000"
|
|---|
| 6841 | ttg (MlTextGroup
|
|---|
| 6842 | uid 4905,0
|
|---|
| 6843 | ps "CenterOffsetStrategy"
|
|---|
| 6844 | stg "VerticalLayoutStrategy"
|
|---|
| 6845 | textVec [
|
|---|
| 6846 | *207 (Text
|
|---|
| 6847 | uid 4906,0
|
|---|
| 6848 | va (VaSet
|
|---|
| 6849 | font "Arial,8,1"
|
|---|
| 6850 | )
|
|---|
| 6851 | xt "-17400,54000,-10800,55000"
|
|---|
| 6852 | st "FACT_FAD_LIB"
|
|---|
| 6853 | blo "-17400,54800"
|
|---|
| 6854 | tm "BdLibraryNameMgr"
|
|---|
| 6855 | )
|
|---|
| 6856 | *208 (Text
|
|---|
| 6857 | uid 4907,0
|
|---|
| 6858 | va (VaSet
|
|---|
| 6859 | font "Arial,8,1"
|
|---|
| 6860 | )
|
|---|
| 6861 | xt "-17400,55000,-12700,56000"
|
|---|
| 6862 | st "drs_pulser"
|
|---|
| 6863 | blo "-17400,55800"
|
|---|
| 6864 | tm "CptNameMgr"
|
|---|
| 6865 | )
|
|---|
| 6866 | *209 (Text
|
|---|
| 6867 | uid 4908,0
|
|---|
| 6868 | va (VaSet
|
|---|
| 6869 | font "Arial,8,1"
|
|---|
| 6870 | )
|
|---|
| 6871 | xt "-17400,56000,-9900,57000"
|
|---|
| 6872 | st "I_main_drs_pulser"
|
|---|
| 6873 | blo "-17400,56800"
|
|---|
| 6874 | tm "InstanceNameMgr"
|
|---|
| 6875 | )
|
|---|
| 6876 | ]
|
|---|
| 6877 | )
|
|---|
| 6878 | ga (GenericAssociation
|
|---|
| 6879 | uid 4909,0
|
|---|
| 6880 | ps "EdgeToEdgeStrategy"
|
|---|
| 6881 | matrix (Matrix
|
|---|
| 6882 | uid 4910,0
|
|---|
| 6883 | text (MLText
|
|---|
| 6884 | uid 4911,0
|
|---|
| 6885 | va (VaSet
|
|---|
| 6886 | font "Courier New,8,0"
|
|---|
| 6887 | )
|
|---|
| 6888 | xt "-8500,46000,-8500,46000"
|
|---|
| 6889 | )
|
|---|
| 6890 | header ""
|
|---|
| 6891 | )
|
|---|
| 6892 | elements [
|
|---|
| 6893 | ]
|
|---|
| 6894 | )
|
|---|
| 6895 | viewicon (ZoomableIcon
|
|---|
| 6896 | uid 4912,0
|
|---|
| 6897 | sl 0
|
|---|
| 6898 | va (VaSet
|
|---|
| 6899 | vasetType 1
|
|---|
| 6900 | fg "49152,49152,49152"
|
|---|
| 6901 | )
|
|---|
| 6902 | xt "-17750,52250,-16250,53750"
|
|---|
| 6903 | iconName "VhdlFileViewIcon.png"
|
|---|
| 6904 | iconMaskName "VhdlFileViewIcon.msk"
|
|---|
| 6905 | ftype 10
|
|---|
| 6906 | )
|
|---|
| 6907 | ordering 1
|
|---|
| 6908 | viewiconposition 0
|
|---|
| 6909 | portVis (PortSigDisplay
|
|---|
| 6910 | )
|
|---|
| 6911 | archFileType "UNKNOWN"
|
|---|
| 6912 | )
|
|---|
| 6913 | *210 (Net
|
|---|
| 6914 | uid 4946,0
|
|---|
| 6915 | decl (Decl
|
|---|
| 6916 | n "RSRLOAD"
|
|---|
| 6917 | t "std_logic"
|
|---|
| 6918 | o 14
|
|---|
| 6919 | suid 117,0
|
|---|
| 6920 | i "'0'"
|
|---|
| 6921 | )
|
|---|
| 6922 | declText (MLText
|
|---|
| 6923 | uid 4947,0
|
|---|
| 6924 | va (VaSet
|
|---|
| 6925 | font "Courier New,8,0"
|
|---|
| 6926 | )
|
|---|
| 6927 | xt "-85000,28600,-45000,29400"
|
|---|
| 6928 | st "RSRLOAD : std_logic := '0'"
|
|---|
| 6929 | )
|
|---|
| 6930 | )
|
|---|
| 6931 | *211 (PortIoOut
|
|---|
| 6932 | uid 4954,0
|
|---|
| 6933 | shape (CompositeShape
|
|---|
| 6934 | uid 4955,0
|
|---|
| 6935 | va (VaSet
|
|---|
| 6936 | vasetType 1
|
|---|
| 6937 | fg "0,0,32768"
|
|---|
| 6938 | )
|
|---|
| 6939 | optionalChildren [
|
|---|
| 6940 | (Pentagon
|
|---|
| 6941 | uid 4956,0
|
|---|
| 6942 | sl 0
|
|---|
| 6943 | ro 90
|
|---|
| 6944 | xt "-28000,50625,-26500,51375"
|
|---|
| 6945 | )
|
|---|
| 6946 | (Line
|
|---|
| 6947 | uid 4957,0
|
|---|
| 6948 | sl 0
|
|---|
| 6949 | ro 90
|
|---|
| 6950 | xt "-26500,51000,-26000,51000"
|
|---|
| 6951 | pts [
|
|---|
| 6952 | "-26000,51000"
|
|---|
| 6953 | "-26500,51000"
|
|---|
| 6954 | ]
|
|---|
| 6955 | )
|
|---|
| 6956 | ]
|
|---|
| 6957 | )
|
|---|
| 6958 | stc 0
|
|---|
| 6959 | sf 1
|
|---|
| 6960 | tg (WTG
|
|---|
| 6961 | uid 4958,0
|
|---|
| 6962 | ps "PortIoTextPlaceStrategy"
|
|---|
| 6963 | stg "STSignalDisplayStrategy"
|
|---|
| 6964 | f (Text
|
|---|
| 6965 | uid 4959,0
|
|---|
| 6966 | va (VaSet
|
|---|
| 6967 | )
|
|---|
| 6968 | xt "-33200,50500,-29000,51500"
|
|---|
| 6969 | st "RSRLOAD"
|
|---|
| 6970 | ju 2
|
|---|
| 6971 | blo "-29000,51300"
|
|---|
| 6972 | tm "WireNameMgr"
|
|---|
| 6973 | )
|
|---|
| 6974 | )
|
|---|
| 6975 | )
|
|---|
| 6976 | *212 (Net
|
|---|
| 6977 | uid 4960,0
|
|---|
| 6978 | decl (Decl
|
|---|
| 6979 | n "SRCLK"
|
|---|
| 6980 | t "std_logic"
|
|---|
| 6981 | o 15
|
|---|
| 6982 | suid 118,0
|
|---|
| 6983 | i "'0'"
|
|---|
| 6984 | )
|
|---|
| 6985 | declText (MLText
|
|---|
| 6986 | uid 4961,0
|
|---|
| 6987 | va (VaSet
|
|---|
| 6988 | font "Courier New,8,0"
|
|---|
| 6989 | )
|
|---|
| 6990 | xt "-85000,29400,-45000,30200"
|
|---|
| 6991 | st "SRCLK : std_logic := '0'"
|
|---|
| 6992 | )
|
|---|
| 6993 | )
|
|---|
| 6994 | *213 (PortIoOut
|
|---|
| 6995 | uid 4968,0
|
|---|
| 6996 | shape (CompositeShape
|
|---|
| 6997 | uid 4969,0
|
|---|
| 6998 | va (VaSet
|
|---|
| 6999 | vasetType 1
|
|---|
| 7000 | fg "0,0,32768"
|
|---|
| 7001 | )
|
|---|
| 7002 | optionalChildren [
|
|---|
| 7003 | (Pentagon
|
|---|
| 7004 | uid 4970,0
|
|---|
| 7005 | sl 0
|
|---|
| 7006 | ro 90
|
|---|
| 7007 | xt "-28000,51625,-26500,52375"
|
|---|
| 7008 | )
|
|---|
| 7009 | (Line
|
|---|
| 7010 | uid 4971,0
|
|---|
| 7011 | sl 0
|
|---|
| 7012 | ro 90
|
|---|
| 7013 | xt "-26500,52000,-26000,52000"
|
|---|
| 7014 | pts [
|
|---|
| 7015 | "-26000,52000"
|
|---|
| 7016 | "-26500,52000"
|
|---|
| 7017 | ]
|
|---|
| 7018 | )
|
|---|
| 7019 | ]
|
|---|
| 7020 | )
|
|---|
| 7021 | stc 0
|
|---|
| 7022 | sf 1
|
|---|
| 7023 | tg (WTG
|
|---|
| 7024 | uid 4972,0
|
|---|
| 7025 | ps "PortIoTextPlaceStrategy"
|
|---|
| 7026 | stg "STSignalDisplayStrategy"
|
|---|
| 7027 | f (Text
|
|---|
| 7028 | uid 4973,0
|
|---|
| 7029 | va (VaSet
|
|---|
| 7030 | )
|
|---|
| 7031 | xt "-32000,51500,-29000,52500"
|
|---|
| 7032 | st "SRCLK"
|
|---|
| 7033 | ju 2
|
|---|
| 7034 | blo "-29000,52300"
|
|---|
| 7035 | tm "WireNameMgr"
|
|---|
| 7036 | )
|
|---|
| 7037 | )
|
|---|
| 7038 | )
|
|---|
| 7039 | *214 (SaComponent
|
|---|
| 7040 | uid 5072,0
|
|---|
| 7041 | optionalChildren [
|
|---|
| 7042 | *215 (CptPort
|
|---|
| 7043 | uid 5028,0
|
|---|
| 7044 | ps "OnEdgeStrategy"
|
|---|
| 7045 | shape (Triangle
|
|---|
| 7046 | uid 5029,0
|
|---|
| 7047 | ro 90
|
|---|
| 7048 | va (VaSet
|
|---|
| 7049 | vasetType 1
|
|---|
| 7050 | fg "0,65535,0"
|
|---|
| 7051 | )
|
|---|
| 7052 | xt "73250,99625,74000,100375"
|
|---|
| 7053 | )
|
|---|
| 7054 | tg (CPTG
|
|---|
| 7055 | uid 5030,0
|
|---|
| 7056 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7057 | stg "VerticalLayoutStrategy"
|
|---|
| 7058 | f (Text
|
|---|
| 7059 | uid 5031,0
|
|---|
| 7060 | va (VaSet
|
|---|
| 7061 | )
|
|---|
| 7062 | xt "75000,99500,76300,100500"
|
|---|
| 7063 | st "clk"
|
|---|
| 7064 | blo "75000,100300"
|
|---|
| 7065 | )
|
|---|
| 7066 | )
|
|---|
| 7067 | thePort (LogicalPort
|
|---|
| 7068 | decl (Decl
|
|---|
| 7069 | n "clk"
|
|---|
| 7070 | t "STD_LOGIC"
|
|---|
| 7071 | o 1
|
|---|
| 7072 | suid 1,0
|
|---|
| 7073 | )
|
|---|
| 7074 | )
|
|---|
| 7075 | )
|
|---|
| 7076 | *216 (CptPort
|
|---|
| 7077 | uid 5032,0
|
|---|
| 7078 | ps "OnEdgeStrategy"
|
|---|
| 7079 | shape (Triangle
|
|---|
| 7080 | uid 5033,0
|
|---|
| 7081 | ro 270
|
|---|
| 7082 | va (VaSet
|
|---|
| 7083 | vasetType 1
|
|---|
| 7084 | fg "0,65535,0"
|
|---|
| 7085 | )
|
|---|
| 7086 | xt "92000,99625,92750,100375"
|
|---|
| 7087 | )
|
|---|
| 7088 | tg (CPTG
|
|---|
| 7089 | uid 5034,0
|
|---|
| 7090 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7091 | stg "RightVerticalLayoutStrategy"
|
|---|
| 7092 | f (Text
|
|---|
| 7093 | uid 5035,0
|
|---|
| 7094 | va (VaSet
|
|---|
| 7095 | )
|
|---|
| 7096 | xt "84000,99500,91000,100500"
|
|---|
| 7097 | st "config_addr : (7:0)"
|
|---|
| 7098 | ju 2
|
|---|
| 7099 | blo "91000,100300"
|
|---|
| 7100 | )
|
|---|
| 7101 | )
|
|---|
| 7102 | thePort (LogicalPort
|
|---|
| 7103 | decl (Decl
|
|---|
| 7104 | n "config_addr"
|
|---|
| 7105 | t "std_logic_vector"
|
|---|
| 7106 | b "(7 DOWNTO 0)"
|
|---|
| 7107 | o 2
|
|---|
| 7108 | suid 2,0
|
|---|
| 7109 | )
|
|---|
| 7110 | )
|
|---|
| 7111 | )
|
|---|
| 7112 | *217 (CptPort
|
|---|
| 7113 | uid 5036,0
|
|---|
| 7114 | ps "OnEdgeStrategy"
|
|---|
| 7115 | shape (Triangle
|
|---|
| 7116 | uid 5037,0
|
|---|
| 7117 | ro 90
|
|---|
| 7118 | va (VaSet
|
|---|
| 7119 | vasetType 1
|
|---|
| 7120 | fg "0,65535,0"
|
|---|
| 7121 | )
|
|---|
| 7122 | xt "92000,101625,92750,102375"
|
|---|
| 7123 | )
|
|---|
| 7124 | tg (CPTG
|
|---|
| 7125 | uid 5038,0
|
|---|
| 7126 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7127 | stg "RightVerticalLayoutStrategy"
|
|---|
| 7128 | f (Text
|
|---|
| 7129 | uid 5039,0
|
|---|
| 7130 | va (VaSet
|
|---|
| 7131 | )
|
|---|
| 7132 | xt "84400,101500,91000,102500"
|
|---|
| 7133 | st "config_data_valid"
|
|---|
| 7134 | ju 2
|
|---|
| 7135 | blo "91000,102300"
|
|---|
| 7136 | )
|
|---|
| 7137 | )
|
|---|
| 7138 | thePort (LogicalPort
|
|---|
| 7139 | m 1
|
|---|
| 7140 | decl (Decl
|
|---|
| 7141 | n "config_data_valid"
|
|---|
| 7142 | t "std_logic"
|
|---|
| 7143 | o 7
|
|---|
| 7144 | suid 3,0
|
|---|
| 7145 | )
|
|---|
| 7146 | )
|
|---|
| 7147 | )
|
|---|
| 7148 | *218 (CptPort
|
|---|
| 7149 | uid 5040,0
|
|---|
| 7150 | ps "OnEdgeStrategy"
|
|---|
| 7151 | shape (Triangle
|
|---|
| 7152 | uid 5041,0
|
|---|
| 7153 | ro 90
|
|---|
| 7154 | va (VaSet
|
|---|
| 7155 | vasetType 1
|
|---|
| 7156 | fg "0,65535,0"
|
|---|
| 7157 | )
|
|---|
| 7158 | xt "92000,105625,92750,106375"
|
|---|
| 7159 | )
|
|---|
| 7160 | tg (CPTG
|
|---|
| 7161 | uid 5042,0
|
|---|
| 7162 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7163 | stg "RightVerticalLayoutStrategy"
|
|---|
| 7164 | f (Text
|
|---|
| 7165 | uid 5043,0
|
|---|
| 7166 | va (VaSet
|
|---|
| 7167 | )
|
|---|
| 7168 | xt "86200,105500,91000,106500"
|
|---|
| 7169 | st "config_busy"
|
|---|
| 7170 | ju 2
|
|---|
| 7171 | blo "91000,106300"
|
|---|
| 7172 | )
|
|---|
| 7173 | )
|
|---|
| 7174 | thePort (LogicalPort
|
|---|
| 7175 | m 1
|
|---|
| 7176 | decl (Decl
|
|---|
| 7177 | n "config_busy"
|
|---|
| 7178 | t "std_logic"
|
|---|
| 7179 | o 6
|
|---|
| 7180 | suid 4,0
|
|---|
| 7181 | )
|
|---|
| 7182 | )
|
|---|
| 7183 | )
|
|---|
| 7184 | *219 (CptPort
|
|---|
| 7185 | uid 5044,0
|
|---|
| 7186 | ps "OnEdgeStrategy"
|
|---|
| 7187 | shape (Diamond
|
|---|
| 7188 | uid 5045,0
|
|---|
| 7189 | ro 90
|
|---|
| 7190 | va (VaSet
|
|---|
| 7191 | vasetType 1
|
|---|
| 7192 | fg "0,65535,0"
|
|---|
| 7193 | )
|
|---|
| 7194 | xt "92000,100625,92750,101375"
|
|---|
| 7195 | )
|
|---|
| 7196 | tg (CPTG
|
|---|
| 7197 | uid 5046,0
|
|---|
| 7198 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7199 | stg "RightVerticalLayoutStrategy"
|
|---|
| 7200 | f (Text
|
|---|
| 7201 | uid 5047,0
|
|---|
| 7202 | va (VaSet
|
|---|
| 7203 | )
|
|---|
| 7204 | xt "83300,100500,91000,101500"
|
|---|
| 7205 | st "config_data : (15:0)"
|
|---|
| 7206 | ju 2
|
|---|
| 7207 | blo "91000,101300"
|
|---|
| 7208 | )
|
|---|
| 7209 | )
|
|---|
| 7210 | thePort (LogicalPort
|
|---|
| 7211 | m 2
|
|---|
| 7212 | decl (Decl
|
|---|
| 7213 | n "config_data"
|
|---|
| 7214 | t "std_logic_vector"
|
|---|
| 7215 | b "(15 DOWNTO 0)"
|
|---|
| 7216 | o 12
|
|---|
| 7217 | suid 5,0
|
|---|
| 7218 | )
|
|---|
| 7219 | )
|
|---|
| 7220 | )
|
|---|
| 7221 | *220 (CptPort
|
|---|
| 7222 | uid 5048,0
|
|---|
| 7223 | ps "OnEdgeStrategy"
|
|---|
| 7224 | shape (Triangle
|
|---|
| 7225 | uid 5049,0
|
|---|
| 7226 | ro 270
|
|---|
| 7227 | va (VaSet
|
|---|
| 7228 | vasetType 1
|
|---|
| 7229 | fg "0,65535,0"
|
|---|
| 7230 | )
|
|---|
| 7231 | xt "73250,101625,74000,102375"
|
|---|
| 7232 | )
|
|---|
| 7233 | tg (CPTG
|
|---|
| 7234 | uid 5050,0
|
|---|
| 7235 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7236 | stg "VerticalLayoutStrategy"
|
|---|
| 7237 | f (Text
|
|---|
| 7238 | uid 5051,0
|
|---|
| 7239 | va (VaSet
|
|---|
| 7240 | )
|
|---|
| 7241 | xt "75000,101500,78400,102500"
|
|---|
| 7242 | st "roi_array"
|
|---|
| 7243 | blo "75000,102300"
|
|---|
| 7244 | )
|
|---|
| 7245 | )
|
|---|
| 7246 | thePort (LogicalPort
|
|---|
| 7247 | m 1
|
|---|
| 7248 | decl (Decl
|
|---|
| 7249 | n "roi_array"
|
|---|
| 7250 | t "roi_array_type"
|
|---|
| 7251 | o 11
|
|---|
| 7252 | suid 6,0
|
|---|
| 7253 | )
|
|---|
| 7254 | )
|
|---|
| 7255 | )
|
|---|
| 7256 | *221 (CptPort
|
|---|
| 7257 | uid 5052,0
|
|---|
| 7258 | ps "OnEdgeStrategy"
|
|---|
| 7259 | shape (Triangle
|
|---|
| 7260 | uid 5053,0
|
|---|
| 7261 | ro 270
|
|---|
| 7262 | va (VaSet
|
|---|
| 7263 | vasetType 1
|
|---|
| 7264 | fg "0,65535,0"
|
|---|
| 7265 | )
|
|---|
| 7266 | xt "92000,103625,92750,104375"
|
|---|
| 7267 | )
|
|---|
| 7268 | tg (CPTG
|
|---|
| 7269 | uid 5054,0
|
|---|
| 7270 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7271 | stg "RightVerticalLayoutStrategy"
|
|---|
| 7272 | f (Text
|
|---|
| 7273 | uid 5055,0
|
|---|
| 7274 | va (VaSet
|
|---|
| 7275 | )
|
|---|
| 7276 | xt "85700,103500,91000,104500"
|
|---|
| 7277 | st "config_wr_en"
|
|---|
| 7278 | ju 2
|
|---|
| 7279 | blo "91000,104300"
|
|---|
| 7280 | )
|
|---|
| 7281 | )
|
|---|
| 7282 | thePort (LogicalPort
|
|---|
| 7283 | decl (Decl
|
|---|
| 7284 | n "config_wr_en"
|
|---|
| 7285 | t "std_logic"
|
|---|
| 7286 | o 5
|
|---|
| 7287 | suid 7,0
|
|---|
| 7288 | )
|
|---|
| 7289 | )
|
|---|
| 7290 | )
|
|---|
| 7291 | *222 (CptPort
|
|---|
| 7292 | uid 5056,0
|
|---|
| 7293 | ps "OnEdgeStrategy"
|
|---|
| 7294 | shape (Triangle
|
|---|
| 7295 | uid 5057,0
|
|---|
| 7296 | ro 270
|
|---|
| 7297 | va (VaSet
|
|---|
| 7298 | vasetType 1
|
|---|
| 7299 | fg "0,65535,0"
|
|---|
| 7300 | )
|
|---|
| 7301 | xt "73250,108625,74000,109375"
|
|---|
| 7302 | )
|
|---|
| 7303 | tg (CPTG
|
|---|
| 7304 | uid 5058,0
|
|---|
| 7305 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7306 | stg "VerticalLayoutStrategy"
|
|---|
| 7307 | f (Text
|
|---|
| 7308 | uid 5059,0
|
|---|
| 7309 | va (VaSet
|
|---|
| 7310 | )
|
|---|
| 7311 | xt "75000,108500,78700,109500"
|
|---|
| 7312 | st "dac_array"
|
|---|
| 7313 | blo "75000,109300"
|
|---|
| 7314 | )
|
|---|
| 7315 | )
|
|---|
| 7316 | thePort (LogicalPort
|
|---|
| 7317 | m 1
|
|---|
| 7318 | decl (Decl
|
|---|
| 7319 | n "dac_array"
|
|---|
| 7320 | t "dac_array_type"
|
|---|
| 7321 | o 10
|
|---|
| 7322 | suid 8,0
|
|---|
| 7323 | )
|
|---|
| 7324 | )
|
|---|
| 7325 | )
|
|---|
| 7326 | *223 (CptPort
|
|---|
| 7327 | uid 5060,0
|
|---|
| 7328 | ps "OnEdgeStrategy"
|
|---|
| 7329 | shape (Triangle
|
|---|
| 7330 | uid 5061,0
|
|---|
| 7331 | ro 270
|
|---|
| 7332 | va (VaSet
|
|---|
| 7333 | vasetType 1
|
|---|
| 7334 | fg "0,65535,0"
|
|---|
| 7335 | )
|
|---|
| 7336 | xt "92000,104625,92750,105375"
|
|---|
| 7337 | )
|
|---|
| 7338 | tg (CPTG
|
|---|
| 7339 | uid 5062,0
|
|---|
| 7340 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7341 | stg "RightVerticalLayoutStrategy"
|
|---|
| 7342 | f (Text
|
|---|
| 7343 | uid 5063,0
|
|---|
| 7344 | va (VaSet
|
|---|
| 7345 | )
|
|---|
| 7346 | xt "85800,104500,91000,105500"
|
|---|
| 7347 | st "config_rd_en"
|
|---|
| 7348 | ju 2
|
|---|
| 7349 | blo "91000,105300"
|
|---|
| 7350 | )
|
|---|
| 7351 | )
|
|---|
| 7352 | thePort (LogicalPort
|
|---|
| 7353 | decl (Decl
|
|---|
| 7354 | n "config_rd_en"
|
|---|
| 7355 | t "std_logic"
|
|---|
| 7356 | o 3
|
|---|
| 7357 | suid 9,0
|
|---|
| 7358 | )
|
|---|
| 7359 | )
|
|---|
| 7360 | )
|
|---|
| 7361 | *224 (CptPort
|
|---|
| 7362 | uid 5064,0
|
|---|
| 7363 | ps "OnEdgeStrategy"
|
|---|
| 7364 | shape (Triangle
|
|---|
| 7365 | uid 5065,0
|
|---|
| 7366 | ro 90
|
|---|
| 7367 | va (VaSet
|
|---|
| 7368 | vasetType 1
|
|---|
| 7369 | fg "0,65535,0"
|
|---|
| 7370 | )
|
|---|
| 7371 | xt "73250,102625,74000,103375"
|
|---|
| 7372 | )
|
|---|
| 7373 | tg (CPTG
|
|---|
| 7374 | uid 5066,0
|
|---|
| 7375 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7376 | stg "VerticalLayoutStrategy"
|
|---|
| 7377 | f (Text
|
|---|
| 7378 | uid 5067,0
|
|---|
| 7379 | va (VaSet
|
|---|
| 7380 | )
|
|---|
| 7381 | xt "75000,102500,79800,103500"
|
|---|
| 7382 | st "config_start"
|
|---|
| 7383 | blo "75000,103300"
|
|---|
| 7384 | )
|
|---|
| 7385 | )
|
|---|
| 7386 | thePort (LogicalPort
|
|---|
| 7387 | decl (Decl
|
|---|
| 7388 | n "config_start"
|
|---|
| 7389 | t "std_logic"
|
|---|
| 7390 | o 4
|
|---|
| 7391 | suid 10,0
|
|---|
| 7392 | )
|
|---|
| 7393 | )
|
|---|
| 7394 | )
|
|---|
| 7395 | *225 (CptPort
|
|---|
| 7396 | uid 5068,0
|
|---|
| 7397 | ps "OnEdgeStrategy"
|
|---|
| 7398 | shape (Triangle
|
|---|
| 7399 | uid 5069,0
|
|---|
| 7400 | ro 270
|
|---|
| 7401 | va (VaSet
|
|---|
| 7402 | vasetType 1
|
|---|
| 7403 | fg "0,65535,0"
|
|---|
| 7404 | )
|
|---|
| 7405 | xt "73250,104625,74000,105375"
|
|---|
| 7406 | )
|
|---|
| 7407 | tg (CPTG
|
|---|
| 7408 | uid 5070,0
|
|---|
| 7409 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7410 | stg "VerticalLayoutStrategy"
|
|---|
| 7411 | f (Text
|
|---|
| 7412 | uid 5071,0
|
|---|
| 7413 | va (VaSet
|
|---|
| 7414 | )
|
|---|
| 7415 | xt "75000,104500,80100,105500"
|
|---|
| 7416 | st "config_ready"
|
|---|
| 7417 | blo "75000,105300"
|
|---|
| 7418 | )
|
|---|
| 7419 | )
|
|---|
| 7420 | thePort (LogicalPort
|
|---|
| 7421 | m 1
|
|---|
| 7422 | decl (Decl
|
|---|
| 7423 | n "config_ready"
|
|---|
| 7424 | t "std_logic"
|
|---|
| 7425 | o 8
|
|---|
| 7426 | suid 11,0
|
|---|
| 7427 | )
|
|---|
| 7428 | )
|
|---|
| 7429 | )
|
|---|
| 7430 | *226 (CptPort
|
|---|
| 7431 | uid 5995,0
|
|---|
| 7432 | ps "OnEdgeStrategy"
|
|---|
| 7433 | shape (Triangle
|
|---|
| 7434 | uid 5996,0
|
|---|
| 7435 | ro 270
|
|---|
| 7436 | va (VaSet
|
|---|
| 7437 | vasetType 1
|
|---|
| 7438 | fg "0,65535,0"
|
|---|
| 7439 | )
|
|---|
| 7440 | xt "73250,103625,74000,104375"
|
|---|
| 7441 | )
|
|---|
| 7442 | tg (CPTG
|
|---|
| 7443 | uid 5997,0
|
|---|
| 7444 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7445 | stg "VerticalLayoutStrategy"
|
|---|
| 7446 | f (Text
|
|---|
| 7447 | uid 5998,0
|
|---|
| 7448 | va (VaSet
|
|---|
| 7449 | )
|
|---|
| 7450 | xt "75000,103500,80600,104500"
|
|---|
| 7451 | st "config_started"
|
|---|
| 7452 | blo "75000,104300"
|
|---|
| 7453 | )
|
|---|
| 7454 | )
|
|---|
| 7455 | thePort (LogicalPort
|
|---|
| 7456 | m 1
|
|---|
| 7457 | decl (Decl
|
|---|
| 7458 | n "config_started"
|
|---|
| 7459 | t "std_logic"
|
|---|
| 7460 | o 9
|
|---|
| 7461 | suid 12,0
|
|---|
| 7462 | i "'0'"
|
|---|
| 7463 | )
|
|---|
| 7464 | )
|
|---|
| 7465 | )
|
|---|
| 7466 | ]
|
|---|
| 7467 | shape (Rectangle
|
|---|
| 7468 | uid 5073,0
|
|---|
| 7469 | va (VaSet
|
|---|
| 7470 | vasetType 1
|
|---|
| 7471 | fg "0,65535,0"
|
|---|
| 7472 | lineColor "0,32896,0"
|
|---|
| 7473 | lineWidth 2
|
|---|
| 7474 | )
|
|---|
| 7475 | xt "74000,99000,92000,112000"
|
|---|
| 7476 | )
|
|---|
| 7477 | oxt "15000,13000,33000,26000"
|
|---|
| 7478 | ttg (MlTextGroup
|
|---|
| 7479 | uid 5074,0
|
|---|
| 7480 | ps "CenterOffsetStrategy"
|
|---|
| 7481 | stg "VerticalLayoutStrategy"
|
|---|
| 7482 | textVec [
|
|---|
| 7483 | *227 (Text
|
|---|
| 7484 | uid 5075,0
|
|---|
| 7485 | va (VaSet
|
|---|
| 7486 | font "Arial,8,1"
|
|---|
| 7487 | )
|
|---|
| 7488 | xt "74200,112000,80400,113000"
|
|---|
| 7489 | st "FACT_FAD_lib"
|
|---|
| 7490 | blo "74200,112800"
|
|---|
| 7491 | tm "BdLibraryNameMgr"
|
|---|
| 7492 | )
|
|---|
| 7493 | *228 (Text
|
|---|
| 7494 | uid 5076,0
|
|---|
| 7495 | va (VaSet
|
|---|
| 7496 | font "Arial,8,1"
|
|---|
| 7497 | )
|
|---|
| 7498 | xt "74200,113000,79600,114000"
|
|---|
| 7499 | st "control_unit"
|
|---|
| 7500 | blo "74200,113800"
|
|---|
| 7501 | tm "CptNameMgr"
|
|---|
| 7502 | )
|
|---|
| 7503 | *229 (Text
|
|---|
| 7504 | uid 5077,0
|
|---|
| 7505 | va (VaSet
|
|---|
| 7506 | font "Arial,8,1"
|
|---|
| 7507 | )
|
|---|
| 7508 | xt "74200,114000,82400,115000"
|
|---|
| 7509 | st "I_main_control_unit"
|
|---|
| 7510 | blo "74200,114800"
|
|---|
| 7511 | tm "InstanceNameMgr"
|
|---|
| 7512 | )
|
|---|
| 7513 | ]
|
|---|
| 7514 | )
|
|---|
| 7515 | ga (GenericAssociation
|
|---|
| 7516 | uid 5078,0
|
|---|
| 7517 | ps "EdgeToEdgeStrategy"
|
|---|
| 7518 | matrix (Matrix
|
|---|
| 7519 | uid 5079,0
|
|---|
| 7520 | text (MLText
|
|---|
| 7521 | uid 5080,0
|
|---|
| 7522 | va (VaSet
|
|---|
| 7523 | font "Courier New,8,0"
|
|---|
| 7524 | )
|
|---|
| 7525 | xt "59000,98800,59000,98800"
|
|---|
| 7526 | )
|
|---|
| 7527 | header ""
|
|---|
| 7528 | )
|
|---|
| 7529 | elements [
|
|---|
| 7530 | ]
|
|---|
| 7531 | )
|
|---|
| 7532 | viewicon (ZoomableIcon
|
|---|
| 7533 | uid 5081,0
|
|---|
| 7534 | sl 0
|
|---|
| 7535 | va (VaSet
|
|---|
| 7536 | vasetType 1
|
|---|
| 7537 | fg "49152,49152,49152"
|
|---|
| 7538 | )
|
|---|
| 7539 | xt "74250,110250,75750,111750"
|
|---|
| 7540 | iconName "BlockDiagram.png"
|
|---|
| 7541 | iconMaskName "BlockDiagram.msk"
|
|---|
| 7542 | ftype 1
|
|---|
| 7543 | )
|
|---|
| 7544 | viewiconposition 0
|
|---|
| 7545 | portVis (PortSigDisplay
|
|---|
| 7546 | )
|
|---|
| 7547 | archFileType "UNKNOWN"
|
|---|
| 7548 | )
|
|---|
| 7549 | *230 (Net
|
|---|
| 7550 | uid 5088,0
|
|---|
| 7551 | decl (Decl
|
|---|
| 7552 | n "config_addr"
|
|---|
| 7553 | t "std_logic_vector"
|
|---|
| 7554 | b "(7 DOWNTO 0)"
|
|---|
| 7555 | o 36
|
|---|
| 7556 | suid 119,0
|
|---|
| 7557 | )
|
|---|
| 7558 | declText (MLText
|
|---|
| 7559 | uid 5089,0
|
|---|
| 7560 | va (VaSet
|
|---|
| 7561 | font "Courier New,8,0"
|
|---|
| 7562 | )
|
|---|
| 7563 | xt "-85000,48200,-53000,49000"
|
|---|
| 7564 | st "SIGNAL config_addr : std_logic_vector(7 DOWNTO 0)"
|
|---|
| 7565 | )
|
|---|
| 7566 | )
|
|---|
| 7567 | *231 (Net
|
|---|
| 7568 | uid 5096,0
|
|---|
| 7569 | decl (Decl
|
|---|
| 7570 | n "config_data_valid"
|
|---|
| 7571 | t "std_logic"
|
|---|
| 7572 | o 39
|
|---|
| 7573 | suid 120,0
|
|---|
| 7574 | )
|
|---|
| 7575 | declText (MLText
|
|---|
| 7576 | uid 5097,0
|
|---|
| 7577 | va (VaSet
|
|---|
| 7578 | font "Courier New,8,0"
|
|---|
| 7579 | )
|
|---|
| 7580 | xt "-85000,50600,-62500,51400"
|
|---|
| 7581 | st "SIGNAL config_data_valid : std_logic"
|
|---|
| 7582 | )
|
|---|
| 7583 | )
|
|---|
| 7584 | *232 (Net
|
|---|
| 7585 | uid 5104,0
|
|---|
| 7586 | decl (Decl
|
|---|
| 7587 | n "config_busy"
|
|---|
| 7588 | t "std_logic"
|
|---|
| 7589 | o 37
|
|---|
| 7590 | suid 121,0
|
|---|
| 7591 | )
|
|---|
| 7592 | declText (MLText
|
|---|
| 7593 | uid 5105,0
|
|---|
| 7594 | va (VaSet
|
|---|
| 7595 | font "Courier New,8,0"
|
|---|
| 7596 | )
|
|---|
| 7597 | xt "-85000,49000,-62500,49800"
|
|---|
| 7598 | st "SIGNAL config_busy : std_logic"
|
|---|
| 7599 | )
|
|---|
| 7600 | )
|
|---|
| 7601 | *233 (Net
|
|---|
| 7602 | uid 5112,0
|
|---|
| 7603 | decl (Decl
|
|---|
| 7604 | n "config_data"
|
|---|
| 7605 | t "std_logic_vector"
|
|---|
| 7606 | b "(15 DOWNTO 0)"
|
|---|
| 7607 | o 38
|
|---|
| 7608 | suid 122,0
|
|---|
| 7609 | )
|
|---|
| 7610 | declText (MLText
|
|---|
| 7611 | uid 5113,0
|
|---|
| 7612 | va (VaSet
|
|---|
| 7613 | font "Courier New,8,0"
|
|---|
| 7614 | )
|
|---|
| 7615 | xt "-85000,49800,-52500,50600"
|
|---|
| 7616 | st "SIGNAL config_data : std_logic_vector(15 DOWNTO 0)"
|
|---|
| 7617 | )
|
|---|
| 7618 | )
|
|---|
| 7619 | *234 (Net
|
|---|
| 7620 | uid 5120,0
|
|---|
| 7621 | decl (Decl
|
|---|
| 7622 | n "config_wr_en"
|
|---|
| 7623 | t "std_logic"
|
|---|
| 7624 | o 51
|
|---|
| 7625 | suid 123,0
|
|---|
| 7626 | )
|
|---|
| 7627 | declText (MLText
|
|---|
| 7628 | uid 5121,0
|
|---|
| 7629 | va (VaSet
|
|---|
| 7630 | font "Courier New,8,0"
|
|---|
| 7631 | )
|
|---|
| 7632 | xt "-85000,60200,-62500,61000"
|
|---|
| 7633 | st "SIGNAL config_wr_en : std_logic"
|
|---|
| 7634 | )
|
|---|
| 7635 | )
|
|---|
| 7636 | *235 (Net
|
|---|
| 7637 | uid 5128,0
|
|---|
| 7638 | decl (Decl
|
|---|
| 7639 | n "config_rd_en"
|
|---|
| 7640 | t "std_logic"
|
|---|
| 7641 | o 40
|
|---|
| 7642 | suid 124,0
|
|---|
| 7643 | )
|
|---|
| 7644 | declText (MLText
|
|---|
| 7645 | uid 5129,0
|
|---|
| 7646 | va (VaSet
|
|---|
| 7647 | font "Courier New,8,0"
|
|---|
| 7648 | )
|
|---|
| 7649 | xt "-85000,51400,-62500,52200"
|
|---|
| 7650 | st "SIGNAL config_rd_en : std_logic"
|
|---|
| 7651 | )
|
|---|
| 7652 | )
|
|---|
| 7653 | *236 (Net
|
|---|
| 7654 | uid 5144,0
|
|---|
| 7655 | decl (Decl
|
|---|
| 7656 | n "dac_array"
|
|---|
| 7657 | t "dac_array_type"
|
|---|
| 7658 | o 52
|
|---|
| 7659 | suid 126,0
|
|---|
| 7660 | )
|
|---|
| 7661 | declText (MLText
|
|---|
| 7662 | uid 5145,0
|
|---|
| 7663 | va (VaSet
|
|---|
| 7664 | font "Courier New,8,0"
|
|---|
| 7665 | )
|
|---|
| 7666 | xt "-85000,61000,-60000,61800"
|
|---|
| 7667 | st "SIGNAL dac_array : dac_array_type"
|
|---|
| 7668 | )
|
|---|
| 7669 | )
|
|---|
| 7670 | *237 (Net
|
|---|
| 7671 | uid 5194,0
|
|---|
| 7672 | decl (Decl
|
|---|
| 7673 | n "config_start_cm"
|
|---|
| 7674 | t "std_logic"
|
|---|
| 7675 | o 45
|
|---|
| 7676 | suid 131,0
|
|---|
| 7677 | )
|
|---|
| 7678 | declText (MLText
|
|---|
| 7679 | uid 5195,0
|
|---|
| 7680 | va (VaSet
|
|---|
| 7681 | font "Courier New,8,0"
|
|---|
| 7682 | )
|
|---|
| 7683 | xt "-85000,55400,-62500,56200"
|
|---|
| 7684 | st "SIGNAL config_start_cm : std_logic"
|
|---|
| 7685 | )
|
|---|
| 7686 | )
|
|---|
| 7687 | *238 (Net
|
|---|
| 7688 | uid 5196,0
|
|---|
| 7689 | decl (Decl
|
|---|
| 7690 | n "config_ready_cm"
|
|---|
| 7691 | t "std_logic"
|
|---|
| 7692 | o 42
|
|---|
| 7693 | suid 132,0
|
|---|
| 7694 | )
|
|---|
| 7695 | declText (MLText
|
|---|
| 7696 | uid 5197,0
|
|---|
| 7697 | va (VaSet
|
|---|
| 7698 | font "Courier New,8,0"
|
|---|
| 7699 | )
|
|---|
| 7700 | xt "-85000,53000,-62500,53800"
|
|---|
| 7701 | st "SIGNAL config_ready_cm : std_logic"
|
|---|
| 7702 | )
|
|---|
| 7703 | )
|
|---|
| 7704 | *239 (Net
|
|---|
| 7705 | uid 5220,0
|
|---|
| 7706 | decl (Decl
|
|---|
| 7707 | n "led"
|
|---|
| 7708 | t "std_logic_vector"
|
|---|
| 7709 | b "(7 DOWNTO 0)"
|
|---|
| 7710 | posAdd 0
|
|---|
| 7711 | o 21
|
|---|
| 7712 | suid 133,0
|
|---|
| 7713 | i "(OTHERS => '0')"
|
|---|
| 7714 | )
|
|---|
| 7715 | declText (MLText
|
|---|
| 7716 | uid 5221,0
|
|---|
| 7717 | va (VaSet
|
|---|
| 7718 | font "Courier New,8,0"
|
|---|
| 7719 | )
|
|---|
| 7720 | xt "-85000,34200,-39000,35000"
|
|---|
| 7721 | st "led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
|
|---|
| 7722 | )
|
|---|
| 7723 | )
|
|---|
| 7724 | *240 (Net
|
|---|
| 7725 | uid 5279,0
|
|---|
| 7726 | decl (Decl
|
|---|
| 7727 | n "s_trigger"
|
|---|
| 7728 | t "std_logic"
|
|---|
| 7729 | o 69
|
|---|
| 7730 | suid 134,0
|
|---|
| 7731 | i "'0'"
|
|---|
| 7732 | )
|
|---|
| 7733 | declText (MLText
|
|---|
| 7734 | uid 5280,0
|
|---|
| 7735 | va (VaSet
|
|---|
| 7736 | font "Courier New,8,0"
|
|---|
| 7737 | )
|
|---|
| 7738 | xt "-85000,74600,-41500,75400"
|
|---|
| 7739 | st "SIGNAL s_trigger : std_logic := '0'"
|
|---|
| 7740 | )
|
|---|
| 7741 | )
|
|---|
| 7742 | *241 (Net
|
|---|
| 7743 | uid 5472,0
|
|---|
| 7744 | decl (Decl
|
|---|
| 7745 | n "sensor_ready"
|
|---|
| 7746 | t "std_logic"
|
|---|
| 7747 | o 71
|
|---|
| 7748 | suid 140,0
|
|---|
| 7749 | )
|
|---|
| 7750 | declText (MLText
|
|---|
| 7751 | uid 5473,0
|
|---|
| 7752 | va (VaSet
|
|---|
| 7753 | font "Courier New,8,0"
|
|---|
| 7754 | )
|
|---|
| 7755 | xt "-85000,76200,-62500,77000"
|
|---|
| 7756 | st "SIGNAL sensor_ready : std_logic"
|
|---|
| 7757 | )
|
|---|
| 7758 | )
|
|---|
| 7759 | *242 (Net
|
|---|
| 7760 | uid 5478,0
|
|---|
| 7761 | decl (Decl
|
|---|
| 7762 | n "sensor_array"
|
|---|
| 7763 | t "sensor_array_type"
|
|---|
| 7764 | o 70
|
|---|
| 7765 | suid 141,0
|
|---|
| 7766 | )
|
|---|
| 7767 | declText (MLText
|
|---|
| 7768 | uid 5479,0
|
|---|
| 7769 | va (VaSet
|
|---|
| 7770 | font "Courier New,8,0"
|
|---|
| 7771 | )
|
|---|
| 7772 | xt "-85000,75400,-58500,76200"
|
|---|
| 7773 | st "SIGNAL sensor_array : sensor_array_type"
|
|---|
| 7774 | )
|
|---|
| 7775 | )
|
|---|
| 7776 | *243 (Net
|
|---|
| 7777 | uid 5588,0
|
|---|
| 7778 | decl (Decl
|
|---|
| 7779 | n "config_ready_spi"
|
|---|
| 7780 | t "std_logic"
|
|---|
| 7781 | o 43
|
|---|
| 7782 | suid 144,0
|
|---|
| 7783 | )
|
|---|
| 7784 | declText (MLText
|
|---|
| 7785 | uid 5589,0
|
|---|
| 7786 | va (VaSet
|
|---|
| 7787 | font "Courier New,8,0"
|
|---|
| 7788 | )
|
|---|
| 7789 | xt "-85000,53800,-62500,54600"
|
|---|
| 7790 | st "SIGNAL config_ready_spi : std_logic"
|
|---|
| 7791 | )
|
|---|
| 7792 | )
|
|---|
| 7793 | *244 (Net
|
|---|
| 7794 | uid 5632,0
|
|---|
| 7795 | lang 10
|
|---|
| 7796 | decl (Decl
|
|---|
| 7797 | n "adc_otr"
|
|---|
| 7798 | t "std_logic_vector"
|
|---|
| 7799 | b "(3 DOWNTO 0)"
|
|---|
| 7800 | o 34
|
|---|
| 7801 | suid 146,0
|
|---|
| 7802 | )
|
|---|
| 7803 | declText (MLText
|
|---|
| 7804 | uid 5633,0
|
|---|
| 7805 | va (VaSet
|
|---|
| 7806 | font "Courier New,8,0"
|
|---|
| 7807 | )
|
|---|
| 7808 | xt "-85000,46600,-53000,47400"
|
|---|
| 7809 | st "SIGNAL adc_otr : std_logic_vector(3 DOWNTO 0)"
|
|---|
| 7810 | )
|
|---|
| 7811 | )
|
|---|
| 7812 | *245 (Net
|
|---|
| 7813 | uid 5640,0
|
|---|
| 7814 | decl (Decl
|
|---|
| 7815 | n "adc_data_array_int"
|
|---|
| 7816 | t "adc_data_array_type"
|
|---|
| 7817 | o 33
|
|---|
| 7818 | suid 147,0
|
|---|
| 7819 | )
|
|---|
| 7820 | declText (MLText
|
|---|
| 7821 | uid 5641,0
|
|---|
| 7822 | va (VaSet
|
|---|
| 7823 | font "Courier New,8,0"
|
|---|
| 7824 | )
|
|---|
| 7825 | xt "-85000,45800,-57500,46600"
|
|---|
| 7826 | st "SIGNAL adc_data_array_int : adc_data_array_type"
|
|---|
| 7827 | )
|
|---|
| 7828 | )
|
|---|
| 7829 | *246 (SaComponent
|
|---|
| 7830 | uid 5678,0
|
|---|
| 7831 | optionalChildren [
|
|---|
| 7832 | *247 (CptPort
|
|---|
| 7833 | uid 5658,0
|
|---|
| 7834 | ps "OnEdgeStrategy"
|
|---|
| 7835 | shape (Triangle
|
|---|
| 7836 | uid 5659,0
|
|---|
| 7837 | ro 90
|
|---|
| 7838 | va (VaSet
|
|---|
| 7839 | vasetType 1
|
|---|
| 7840 | fg "0,65535,0"
|
|---|
| 7841 | )
|
|---|
| 7842 | xt "-18750,75625,-18000,76375"
|
|---|
| 7843 | )
|
|---|
| 7844 | tg (CPTG
|
|---|
| 7845 | uid 5660,0
|
|---|
| 7846 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7847 | stg "VerticalLayoutStrategy"
|
|---|
| 7848 | f (Text
|
|---|
| 7849 | uid 5661,0
|
|---|
| 7850 | va (VaSet
|
|---|
| 7851 | font "arial,8,0"
|
|---|
| 7852 | )
|
|---|
| 7853 | xt "-17000,75500,-11100,76500"
|
|---|
| 7854 | st "adc_data_array"
|
|---|
| 7855 | blo "-17000,76300"
|
|---|
| 7856 | )
|
|---|
| 7857 | )
|
|---|
| 7858 | thePort (LogicalPort
|
|---|
| 7859 | decl (Decl
|
|---|
| 7860 | n "adc_data_array"
|
|---|
| 7861 | t "adc_data_array_type"
|
|---|
| 7862 | o 2
|
|---|
| 7863 | suid 5,0
|
|---|
| 7864 | )
|
|---|
| 7865 | )
|
|---|
| 7866 | )
|
|---|
| 7867 | *248 (CptPort
|
|---|
| 7868 | uid 5662,0
|
|---|
| 7869 | ps "OnEdgeStrategy"
|
|---|
| 7870 | shape (Triangle
|
|---|
| 7871 | uid 5663,0
|
|---|
| 7872 | ro 90
|
|---|
| 7873 | va (VaSet
|
|---|
| 7874 | vasetType 1
|
|---|
| 7875 | fg "0,65535,0"
|
|---|
| 7876 | )
|
|---|
| 7877 | xt "0,75625,750,76375"
|
|---|
| 7878 | )
|
|---|
| 7879 | tg (CPTG
|
|---|
| 7880 | uid 5664,0
|
|---|
| 7881 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7882 | stg "RightVerticalLayoutStrategy"
|
|---|
| 7883 | f (Text
|
|---|
| 7884 | uid 5665,0
|
|---|
| 7885 | va (VaSet
|
|---|
| 7886 | font "arial,8,0"
|
|---|
| 7887 | )
|
|---|
| 7888 | xt "-8100,75500,-1000,76500"
|
|---|
| 7889 | st "adc_data_array_int"
|
|---|
| 7890 | ju 2
|
|---|
| 7891 | blo "-1000,76300"
|
|---|
| 7892 | )
|
|---|
| 7893 | )
|
|---|
| 7894 | thePort (LogicalPort
|
|---|
| 7895 | m 1
|
|---|
| 7896 | decl (Decl
|
|---|
| 7897 | n "adc_data_array_int"
|
|---|
| 7898 | t "adc_data_array_type"
|
|---|
| 7899 | o 4
|
|---|
| 7900 | suid 6,0
|
|---|
| 7901 | )
|
|---|
| 7902 | )
|
|---|
| 7903 | )
|
|---|
| 7904 | *249 (CptPort
|
|---|
| 7905 | uid 5666,0
|
|---|
| 7906 | ps "OnEdgeStrategy"
|
|---|
| 7907 | shape (Triangle
|
|---|
| 7908 | uid 5667,0
|
|---|
| 7909 | ro 90
|
|---|
| 7910 | va (VaSet
|
|---|
| 7911 | vasetType 1
|
|---|
| 7912 | fg "0,65535,0"
|
|---|
| 7913 | )
|
|---|
| 7914 | xt "0,76625,750,77375"
|
|---|
| 7915 | )
|
|---|
| 7916 | tg (CPTG
|
|---|
| 7917 | uid 5668,0
|
|---|
| 7918 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7919 | stg "RightVerticalLayoutStrategy"
|
|---|
| 7920 | f (Text
|
|---|
| 7921 | uid 5669,0
|
|---|
| 7922 | va (VaSet
|
|---|
| 7923 | font "arial,8,0"
|
|---|
| 7924 | )
|
|---|
| 7925 | xt "-3900,76500,-1000,77500"
|
|---|
| 7926 | st "adc_otr"
|
|---|
| 7927 | ju 2
|
|---|
| 7928 | blo "-1000,77300"
|
|---|
| 7929 | )
|
|---|
| 7930 | )
|
|---|
| 7931 | thePort (LogicalPort
|
|---|
| 7932 | lang 10
|
|---|
| 7933 | m 1
|
|---|
| 7934 | decl (Decl
|
|---|
| 7935 | n "adc_otr"
|
|---|
| 7936 | t "std_logic_vector"
|
|---|
| 7937 | b "(3 DOWNTO 0)"
|
|---|
| 7938 | o 5
|
|---|
| 7939 | suid 7,0
|
|---|
| 7940 | )
|
|---|
| 7941 | )
|
|---|
| 7942 | )
|
|---|
| 7943 | *250 (CptPort
|
|---|
| 7944 | uid 5670,0
|
|---|
| 7945 | ps "OnEdgeStrategy"
|
|---|
| 7946 | shape (Triangle
|
|---|
| 7947 | uid 5671,0
|
|---|
| 7948 | ro 90
|
|---|
| 7949 | va (VaSet
|
|---|
| 7950 | vasetType 1
|
|---|
| 7951 | fg "0,65535,0"
|
|---|
| 7952 | )
|
|---|
| 7953 | xt "-18750,76625,-18000,77375"
|
|---|
| 7954 | )
|
|---|
| 7955 | tg (CPTG
|
|---|
| 7956 | uid 5672,0
|
|---|
| 7957 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7958 | stg "VerticalLayoutStrategy"
|
|---|
| 7959 | f (Text
|
|---|
| 7960 | uid 5673,0
|
|---|
| 7961 | va (VaSet
|
|---|
| 7962 | font "arial,8,0"
|
|---|
| 7963 | )
|
|---|
| 7964 | xt "-17000,76500,-11600,77500"
|
|---|
| 7965 | st "adc_otr_array"
|
|---|
| 7966 | blo "-17000,77300"
|
|---|
| 7967 | )
|
|---|
| 7968 | )
|
|---|
| 7969 | thePort (LogicalPort
|
|---|
| 7970 | decl (Decl
|
|---|
| 7971 | n "adc_otr_array"
|
|---|
| 7972 | t "std_logic_vector"
|
|---|
| 7973 | b "(3 DOWNTO 0)"
|
|---|
| 7974 | o 3
|
|---|
| 7975 | suid 8,0
|
|---|
| 7976 | )
|
|---|
| 7977 | )
|
|---|
| 7978 | )
|
|---|
| 7979 | *251 (CptPort
|
|---|
| 7980 | uid 5674,0
|
|---|
| 7981 | ps "OnEdgeStrategy"
|
|---|
| 7982 | shape (Triangle
|
|---|
| 7983 | uid 5675,0
|
|---|
| 7984 | ro 90
|
|---|
| 7985 | va (VaSet
|
|---|
| 7986 | vasetType 1
|
|---|
| 7987 | fg "0,65535,0"
|
|---|
| 7988 | )
|
|---|
| 7989 | xt "-18750,73625,-18000,74375"
|
|---|
| 7990 | )
|
|---|
| 7991 | tg (CPTG
|
|---|
| 7992 | uid 5676,0
|
|---|
| 7993 | ps "CptPortTextPlaceStrategy"
|
|---|
| 7994 | stg "VerticalLayoutStrategy"
|
|---|
| 7995 | f (Text
|
|---|
| 7996 | uid 5677,0
|
|---|
| 7997 | va (VaSet
|
|---|
| 7998 | font "arial,8,0"
|
|---|
| 7999 | )
|
|---|
| 8000 | xt "-17000,73500,-14500,74500"
|
|---|
| 8001 | st "clk_ps"
|
|---|
| 8002 | blo "-17000,74300"
|
|---|
| 8003 | )
|
|---|
| 8004 | )
|
|---|
| 8005 | thePort (LogicalPort
|
|---|
| 8006 | lang 10
|
|---|
| 8007 | decl (Decl
|
|---|
| 8008 | n "clk_ps"
|
|---|
| 8009 | t "std_logic"
|
|---|
| 8010 | o 1
|
|---|
| 8011 | suid 9,0
|
|---|
| 8012 | )
|
|---|
| 8013 | )
|
|---|
| 8014 | )
|
|---|
| 8015 | ]
|
|---|
| 8016 | shape (Rectangle
|
|---|
| 8017 | uid 5679,0
|
|---|
| 8018 | va (VaSet
|
|---|
| 8019 | vasetType 1
|
|---|
| 8020 | fg "0,65535,0"
|
|---|
| 8021 | lineColor "0,32896,0"
|
|---|
| 8022 | lineWidth 2
|
|---|
| 8023 | )
|
|---|
| 8024 | xt "-18000,73000,0,80000"
|
|---|
| 8025 | )
|
|---|
| 8026 | oxt "15000,6000,23000,11000"
|
|---|
| 8027 | ttg (MlTextGroup
|
|---|
| 8028 | uid 5680,0
|
|---|
| 8029 | ps "CenterOffsetStrategy"
|
|---|
| 8030 | stg "VerticalLayoutStrategy"
|
|---|
| 8031 | textVec [
|
|---|
| 8032 | *252 (Text
|
|---|
| 8033 | uid 5681,0
|
|---|
| 8034 | va (VaSet
|
|---|
| 8035 | font "arial,8,1"
|
|---|
| 8036 | )
|
|---|
| 8037 | xt "900,77500,7100,78500"
|
|---|
| 8038 | st "FACT_FAD_lib"
|
|---|
| 8039 | blo "900,78300"
|
|---|
| 8040 | tm "BdLibraryNameMgr"
|
|---|
| 8041 | )
|
|---|
| 8042 | *253 (Text
|
|---|
| 8043 | uid 5682,0
|
|---|
| 8044 | va (VaSet
|
|---|
| 8045 | font "arial,8,1"
|
|---|
| 8046 | )
|
|---|
| 8047 | xt "900,78500,5700,79500"
|
|---|
| 8048 | st "adc_buffer"
|
|---|
| 8049 | blo "900,79300"
|
|---|
| 8050 | tm "CptNameMgr"
|
|---|
| 8051 | )
|
|---|
| 8052 | *254 (Text
|
|---|
| 8053 | uid 5683,0
|
|---|
| 8054 | va (VaSet
|
|---|
| 8055 | font "arial,8,1"
|
|---|
| 8056 | )
|
|---|
| 8057 | xt "900,79500,8500,80500"
|
|---|
| 8058 | st "I_main_adc_buffer"
|
|---|
| 8059 | blo "900,80300"
|
|---|
| 8060 | tm "InstanceNameMgr"
|
|---|
| 8061 | )
|
|---|
| 8062 | ]
|
|---|
| 8063 | )
|
|---|
| 8064 | ga (GenericAssociation
|
|---|
| 8065 | uid 5684,0
|
|---|
| 8066 | ps "EdgeToEdgeStrategy"
|
|---|
| 8067 | matrix (Matrix
|
|---|
| 8068 | uid 5685,0
|
|---|
| 8069 | text (MLText
|
|---|
| 8070 | uid 5686,0
|
|---|
| 8071 | va (VaSet
|
|---|
| 8072 | font "Courier New,8,0"
|
|---|
| 8073 | )
|
|---|
| 8074 | xt "-38000,73300,-38000,73300"
|
|---|
| 8075 | )
|
|---|
| 8076 | header ""
|
|---|
| 8077 | )
|
|---|
| 8078 | elements [
|
|---|
| 8079 | ]
|
|---|
| 8080 | )
|
|---|
| 8081 | viewicon (ZoomableIcon
|
|---|
| 8082 | uid 5687,0
|
|---|
| 8083 | sl 0
|
|---|
| 8084 | va (VaSet
|
|---|
| 8085 | vasetType 1
|
|---|
| 8086 | fg "49152,49152,49152"
|
|---|
| 8087 | )
|
|---|
| 8088 | xt "-17750,78250,-16250,79750"
|
|---|
| 8089 | iconName "VhdlFileViewIcon.png"
|
|---|
| 8090 | iconMaskName "VhdlFileViewIcon.msk"
|
|---|
| 8091 | ftype 10
|
|---|
| 8092 | )
|
|---|
| 8093 | ordering 1
|
|---|
| 8094 | viewiconposition 0
|
|---|
| 8095 | portVis (PortSigDisplay
|
|---|
| 8096 | sTC 0
|
|---|
| 8097 | sF 0
|
|---|
| 8098 | )
|
|---|
| 8099 | archFileType "UNKNOWN"
|
|---|
| 8100 | )
|
|---|
| 8101 | *255 (Net
|
|---|
| 8102 | uid 5743,0
|
|---|
| 8103 | decl (Decl
|
|---|
| 8104 | n "config_start_spi"
|
|---|
| 8105 | t "std_logic"
|
|---|
| 8106 | o 46
|
|---|
| 8107 | suid 149,0
|
|---|
| 8108 | i "'0'"
|
|---|
| 8109 | )
|
|---|
| 8110 | declText (MLText
|
|---|
| 8111 | uid 5744,0
|
|---|
| 8112 | va (VaSet
|
|---|
| 8113 | font "Courier New,8,0"
|
|---|
| 8114 | )
|
|---|
| 8115 | xt "-85000,56200,-41500,57000"
|
|---|
| 8116 | st "SIGNAL config_start_spi : std_logic := '0'"
|
|---|
| 8117 | )
|
|---|
| 8118 | )
|
|---|
| 8119 | *256 (SaComponent
|
|---|
| 8120 | uid 5793,0
|
|---|
| 8121 | optionalChildren [
|
|---|
| 8122 | *257 (CptPort
|
|---|
| 8123 | uid 5753,0
|
|---|
| 8124 | ps "OnEdgeStrategy"
|
|---|
| 8125 | shape (Triangle
|
|---|
| 8126 | uid 5754,0
|
|---|
| 8127 | ro 270
|
|---|
| 8128 | va (VaSet
|
|---|
| 8129 | vasetType 1
|
|---|
| 8130 | fg "0,65535,0"
|
|---|
| 8131 | )
|
|---|
| 8132 | xt "20250,106625,21000,107375"
|
|---|
| 8133 | )
|
|---|
| 8134 | tg (CPTG
|
|---|
| 8135 | uid 5755,0
|
|---|
| 8136 | ps "CptPortTextPlaceStrategy"
|
|---|
| 8137 | stg "VerticalLayoutStrategy"
|
|---|
| 8138 | f (Text
|
|---|
| 8139 | uid 5756,0
|
|---|
| 8140 | va (VaSet
|
|---|
| 8141 | )
|
|---|
| 8142 | xt "22000,106500,23700,107500"
|
|---|
| 8143 | st "sclk"
|
|---|
| 8144 | blo "22000,107300"
|
|---|
| 8145 | )
|
|---|
| 8146 | )
|
|---|
| 8147 | thePort (LogicalPort
|
|---|
| 8148 | m 1
|
|---|
| 8149 | decl (Decl
|
|---|
| 8150 | n "sclk"
|
|---|
| 8151 | t "std_logic"
|
|---|
| 8152 | o 8
|
|---|
| 8153 | suid 1,0
|
|---|
| 8154 | )
|
|---|
| 8155 | )
|
|---|
| 8156 | )
|
|---|
| 8157 | *258 (CptPort
|
|---|
| 8158 | uid 5761,0
|
|---|
| 8159 | ps "OnEdgeStrategy"
|
|---|
| 8160 | shape (Triangle
|
|---|
| 8161 | uid 5762,0
|
|---|
| 8162 | ro 270
|
|---|
| 8163 | va (VaSet
|
|---|
| 8164 | vasetType 1
|
|---|
| 8165 | fg "0,65535,0"
|
|---|
| 8166 | )
|
|---|
| 8167 | xt "37000,108625,37750,109375"
|
|---|
| 8168 | )
|
|---|
| 8169 | tg (CPTG
|
|---|
| 8170 | uid 5763,0
|
|---|
| 8171 | ps "CptPortTextPlaceStrategy"
|
|---|
| 8172 | stg "RightVerticalLayoutStrategy"
|
|---|
| 8173 | f (Text
|
|---|
| 8174 | uid 5764,0
|
|---|
| 8175 | va (VaSet
|
|---|
| 8176 | )
|
|---|
| 8177 | xt "32300,108500,36000,109500"
|
|---|
| 8178 | st "dac_array"
|
|---|
| 8179 | ju 2
|
|---|
| 8180 | blo "36000,109300"
|
|---|
| 8181 | )
|
|---|
| 8182 | )
|
|---|
| 8183 | thePort (LogicalPort
|
|---|
| 8184 | decl (Decl
|
|---|
| 8185 | n "dac_array"
|
|---|
| 8186 | t "dac_array_type"
|
|---|
| 8187 | o 3
|
|---|
| 8188 | suid 10,0
|
|---|
| 8189 | )
|
|---|
| 8190 | )
|
|---|
| 8191 | )
|
|---|
| 8192 | *259 (CptPort
|
|---|
| 8193 | uid 5765,0
|
|---|
| 8194 | ps "OnEdgeStrategy"
|
|---|
| 8195 | shape (Triangle
|
|---|
| 8196 | uid 5766,0
|
|---|
| 8197 | ro 90
|
|---|
| 8198 | va (VaSet
|
|---|
| 8199 | vasetType 1
|
|---|
| 8200 | fg "0,65535,0"
|
|---|
| 8201 | )
|
|---|
| 8202 | xt "37000,106625,37750,107375"
|
|---|
| 8203 | )
|
|---|
| 8204 | tg (CPTG
|
|---|
| 8205 | uid 5767,0
|
|---|
| 8206 | ps "CptPortTextPlaceStrategy"
|
|---|
| 8207 | stg "RightVerticalLayoutStrategy"
|
|---|
| 8208 | f (Text
|
|---|
| 8209 | uid 5768,0
|
|---|
| 8210 | va (VaSet
|
|---|
| 8211 | )
|
|---|
| 8212 | xt "30900,106500,36000,107500"
|
|---|
| 8213 | st "config_ready"
|
|---|
| 8214 | ju 2
|
|---|
| 8215 | blo "36000,107300"
|
|---|
| 8216 | )
|
|---|
| 8217 | )
|
|---|
| 8218 | thePort (LogicalPort
|
|---|
| 8219 | m 1
|
|---|
| 8220 | decl (Decl
|
|---|
| 8221 | n "config_ready"
|
|---|
| 8222 | t "std_logic"
|
|---|
| 8223 | o 4
|
|---|
| 8224 | suid 11,0
|
|---|
| 8225 | )
|
|---|
| 8226 | )
|
|---|
| 8227 | )
|
|---|
| 8228 | *260 (CptPort
|
|---|
| 8229 | uid 5769,0
|
|---|
| 8230 | ps "OnEdgeStrategy"
|
|---|
| 8231 | shape (Triangle
|
|---|
| 8232 | uid 5770,0
|
|---|
| 8233 | ro 270
|
|---|
| 8234 | va (VaSet
|
|---|
| 8235 | vasetType 1
|
|---|
| 8236 | fg "0,65535,0"
|
|---|
| 8237 | )
|
|---|
| 8238 | xt "37000,104625,37750,105375"
|
|---|
| 8239 | )
|
|---|
| 8240 | tg (CPTG
|
|---|
| 8241 | uid 5771,0
|
|---|
| 8242 | ps "CptPortTextPlaceStrategy"
|
|---|
| 8243 | stg "RightVerticalLayoutStrategy"
|
|---|
| 8244 | f (Text
|
|---|
| 8245 | uid 5772,0
|
|---|
| 8246 | va (VaSet
|
|---|
| 8247 | )
|
|---|
| 8248 | xt "31200,104500,36000,105500"
|
|---|
| 8249 | st "config_start"
|
|---|
| 8250 | ju 2
|
|---|
| 8251 | blo "36000,105300"
|
|---|
| 8252 | )
|
|---|
| 8253 | )
|
|---|
| 8254 | thePort (LogicalPort
|
|---|
| 8255 | decl (Decl
|
|---|
| 8256 | n "config_start"
|
|---|
| 8257 | t "std_logic"
|
|---|
| 8258 | o 2
|
|---|
| 8259 | suid 12,0
|
|---|
| 8260 | )
|
|---|
| 8261 | )
|
|---|
| 8262 | )
|
|---|
| 8263 | *261 (CptPort
|
|---|
| 8264 | uid 5773,0
|
|---|
| 8265 | ps "OnEdgeStrategy"
|
|---|
| 8266 | shape (Triangle
|
|---|
| 8267 | uid 5774,0
|
|---|
| 8268 | ro 90
|
|---|
| 8269 | va (VaSet
|
|---|
| 8270 | vasetType 1
|
|---|
| 8271 | fg "0,65535,0"
|
|---|
| 8272 | )
|
|---|
| 8273 | xt "37000,101625,37750,102375"
|
|---|
| 8274 | )
|
|---|
| 8275 | tg (CPTG
|
|---|
| 8276 | uid 5775,0
|
|---|
| 8277 | ps "CptPortTextPlaceStrategy"
|
|---|
| 8278 | stg "RightVerticalLayoutStrategy"
|
|---|
| 8279 | f (Text
|
|---|
| 8280 | uid 5776,0
|
|---|
| 8281 | va (VaSet
|
|---|
| 8282 | )
|
|---|
| 8283 | xt "30800,101500,36000,102500"
|
|---|
| 8284 | st "sensor_array"
|
|---|
| 8285 | ju 2
|
|---|
| 8286 | blo "36000,102300"
|
|---|
| 8287 | )
|
|---|
| 8288 | )
|
|---|
| 8289 | thePort (LogicalPort
|
|---|
| 8290 | m 1
|
|---|
| 8291 | decl (Decl
|
|---|
| 8292 | n "sensor_array"
|
|---|
| 8293 | t "sensor_array_type"
|
|---|
| 8294 | o 9
|
|---|
| 8295 | suid 13,0
|
|---|
| 8296 | )
|
|---|
| 8297 | )
|
|---|
| 8298 | )
|
|---|
| 8299 | *262 (CptPort
|
|---|
| 8300 | uid 5777,0
|
|---|
| 8301 | ps "OnEdgeStrategy"
|
|---|
| 8302 | shape (Triangle
|
|---|
| 8303 | uid 5778,0
|
|---|
| 8304 | ro 90
|
|---|
| 8305 | va (VaSet
|
|---|
| 8306 | vasetType 1
|
|---|
| 8307 | fg "0,65535,0"
|
|---|
| 8308 | )
|
|---|
| 8309 | xt "37000,100625,37750,101375"
|
|---|
| 8310 | )
|
|---|
| 8311 | tg (CPTG
|
|---|
| 8312 | uid 5779,0
|
|---|
| 8313 | ps "CptPortTextPlaceStrategy"
|
|---|
| 8314 | stg "RightVerticalLayoutStrategy"
|
|---|
| 8315 | f (Text
|
|---|
| 8316 | uid 5780,0
|
|---|
| 8317 | va (VaSet
|
|---|
| 8318 | )
|
|---|
| 8319 | xt "30700,100500,36000,101500"
|
|---|
| 8320 | st "sensor_ready"
|
|---|
| 8321 | ju 2
|
|---|
| 8322 | blo "36000,101300"
|
|---|
| 8323 | )
|
|---|
| 8324 | )
|
|---|
| 8325 | thePort (LogicalPort
|
|---|
| 8326 | m 1
|
|---|
| 8327 | decl (Decl
|
|---|
| 8328 | n "sensor_ready"
|
|---|
| 8329 | t "std_logic"
|
|---|
| 8330 | o 11
|
|---|
| 8331 | suid 14,0
|
|---|
| 8332 | )
|
|---|
| 8333 | )
|
|---|
| 8334 | )
|
|---|
| 8335 | *263 (CptPort
|
|---|
| 8336 | uid 5781,0
|
|---|
| 8337 | ps "OnEdgeStrategy"
|
|---|
| 8338 | shape (Triangle
|
|---|
| 8339 | uid 5782,0
|
|---|
| 8340 | ro 270
|
|---|
| 8341 | va (VaSet
|
|---|
| 8342 | vasetType 1
|
|---|
| 8343 | fg "0,65535,0"
|
|---|
| 8344 | )
|
|---|
| 8345 | xt "20250,104625,21000,105375"
|
|---|
| 8346 | )
|
|---|
| 8347 | tg (CPTG
|
|---|
| 8348 | uid 5783,0
|
|---|
| 8349 | ps "CptPortTextPlaceStrategy"
|
|---|
| 8350 | stg "VerticalLayoutStrategy"
|
|---|
| 8351 | f (Text
|
|---|
| 8352 | uid 5784,0
|
|---|
| 8353 | va (VaSet
|
|---|
| 8354 | )
|
|---|
| 8355 | xt "22000,104500,24800,105500"
|
|---|
| 8356 | st "dac_cs"
|
|---|
| 8357 | blo "22000,105300"
|
|---|
| 8358 | )
|
|---|
| 8359 | )
|
|---|
| 8360 | thePort (LogicalPort
|
|---|
| 8361 | m 1
|
|---|
| 8362 | decl (Decl
|
|---|
| 8363 | n "dac_cs"
|
|---|
| 8364 | t "std_logic"
|
|---|
| 8365 | o 6
|
|---|
| 8366 | suid 15,0
|
|---|
| 8367 | )
|
|---|
| 8368 | )
|
|---|
| 8369 | )
|
|---|
| 8370 | *264 (CptPort
|
|---|
| 8371 | uid 5785,0
|
|---|
| 8372 | ps "OnEdgeStrategy"
|
|---|
| 8373 | shape (Triangle
|
|---|
| 8374 | uid 5786,0
|
|---|
| 8375 | ro 270
|
|---|
| 8376 | va (VaSet
|
|---|
| 8377 | vasetType 1
|
|---|
| 8378 | fg "0,65535,0"
|
|---|
| 8379 | )
|
|---|
| 8380 | xt "20250,103625,21000,104375"
|
|---|
| 8381 | )
|
|---|
| 8382 | tg (CPTG
|
|---|
| 8383 | uid 5787,0
|
|---|
| 8384 | ps "CptPortTextPlaceStrategy"
|
|---|
| 8385 | stg "VerticalLayoutStrategy"
|
|---|
| 8386 | f (Text
|
|---|
| 8387 | uid 5788,0
|
|---|
| 8388 | va (VaSet
|
|---|
| 8389 | )
|
|---|
| 8390 | xt "22000,103500,28500,104500"
|
|---|
| 8391 | st "sensor_cs : (3:0)"
|
|---|
| 8392 | blo "22000,104300"
|
|---|
| 8393 | )
|
|---|
| 8394 | )
|
|---|
| 8395 | thePort (LogicalPort
|
|---|
| 8396 | m 1
|
|---|
| 8397 | decl (Decl
|
|---|
| 8398 | n "sensor_cs"
|
|---|
| 8399 | t "std_logic_vector"
|
|---|
| 8400 | b "(3 DOWNTO 0)"
|
|---|
| 8401 | o 10
|
|---|
| 8402 | suid 16,0
|
|---|
| 8403 | )
|
|---|
| 8404 | )
|
|---|
| 8405 | )
|
|---|
| 8406 | *265 (CptPort
|
|---|
| 8407 | uid 5789,0
|
|---|
| 8408 | ps "OnEdgeStrategy"
|
|---|
| 8409 | shape (Triangle
|
|---|
| 8410 | uid 5790,0
|
|---|
| 8411 | ro 90
|
|---|
| 8412 | va (VaSet
|
|---|
| 8413 | vasetType 1
|
|---|
| 8414 | fg "0,65535,0"
|
|---|
| 8415 | )
|
|---|
| 8416 | xt "20250,100625,21000,101375"
|
|---|
| 8417 | )
|
|---|
| 8418 | tg (CPTG
|
|---|
| 8419 | uid 5791,0
|
|---|
| 8420 | ps "CptPortTextPlaceStrategy"
|
|---|
| 8421 | stg "VerticalLayoutStrategy"
|
|---|
| 8422 | f (Text
|
|---|
| 8423 | uid 5792,0
|
|---|
| 8424 | va (VaSet
|
|---|
| 8425 | )
|
|---|
| 8426 | xt "22000,100500,26200,101500"
|
|---|
| 8427 | st "clk_50MHz"
|
|---|
| 8428 | blo "22000,101300"
|
|---|
| 8429 | )
|
|---|
| 8430 | )
|
|---|
| 8431 | thePort (LogicalPort
|
|---|
| 8432 | decl (Decl
|
|---|
| 8433 | n "clk_50MHz"
|
|---|
| 8434 | t "std_logic"
|
|---|
| 8435 | preAdd 0
|
|---|
| 8436 | posAdd 0
|
|---|
| 8437 | o 1
|
|---|
| 8438 | suid 17,0
|
|---|
| 8439 | )
|
|---|
| 8440 | )
|
|---|
| 8441 | )
|
|---|
| 8442 | *266 (CptPort
|
|---|
| 8443 | uid 5986,0
|
|---|
| 8444 | ps "OnEdgeStrategy"
|
|---|
| 8445 | shape (Triangle
|
|---|
| 8446 | uid 5987,0
|
|---|
| 8447 | ro 90
|
|---|
| 8448 | va (VaSet
|
|---|
| 8449 | vasetType 1
|
|---|
| 8450 | fg "0,65535,0"
|
|---|
| 8451 | )
|
|---|
| 8452 | xt "37000,105625,37750,106375"
|
|---|
| 8453 | )
|
|---|
| 8454 | tg (CPTG
|
|---|
| 8455 | uid 5988,0
|
|---|
| 8456 | ps "CptPortTextPlaceStrategy"
|
|---|
| 8457 | stg "RightVerticalLayoutStrategy"
|
|---|
| 8458 | f (Text
|
|---|
| 8459 | uid 5989,0
|
|---|
| 8460 | va (VaSet
|
|---|
| 8461 | )
|
|---|
| 8462 | xt "30400,105500,36000,106500"
|
|---|
| 8463 | st "config_started"
|
|---|
| 8464 | ju 2
|
|---|
| 8465 | blo "36000,106300"
|
|---|
| 8466 | )
|
|---|
| 8467 | )
|
|---|
| 8468 | thePort (LogicalPort
|
|---|
| 8469 | m 1
|
|---|
| 8470 | decl (Decl
|
|---|
| 8471 | n "config_started"
|
|---|
| 8472 | t "std_logic"
|
|---|
| 8473 | o 5
|
|---|
| 8474 | suid 18,0
|
|---|
| 8475 | i "'0'"
|
|---|
| 8476 | )
|
|---|
| 8477 | )
|
|---|
| 8478 | )
|
|---|
| 8479 | *267 (CptPort
|
|---|
| 8480 | uid 6154,0
|
|---|
| 8481 | ps "OnEdgeStrategy"
|
|---|
| 8482 | shape (Triangle
|
|---|
| 8483 | uid 6155,0
|
|---|
| 8484 | ro 270
|
|---|
| 8485 | va (VaSet
|
|---|
| 8486 | vasetType 1
|
|---|
| 8487 | fg "0,65535,0"
|
|---|
| 8488 | )
|
|---|
| 8489 | xt "20250,108625,21000,109375"
|
|---|
| 8490 | )
|
|---|
| 8491 | tg (CPTG
|
|---|
| 8492 | uid 6156,0
|
|---|
| 8493 | ps "CptPortTextPlaceStrategy"
|
|---|
| 8494 | stg "VerticalLayoutStrategy"
|
|---|
| 8495 | f (Text
|
|---|
| 8496 | uid 6157,0
|
|---|
| 8497 | va (VaSet
|
|---|
| 8498 | )
|
|---|
| 8499 | xt "22000,108500,24000,109500"
|
|---|
| 8500 | st "mosi"
|
|---|
| 8501 | blo "22000,109300"
|
|---|
| 8502 | )
|
|---|
| 8503 | )
|
|---|
| 8504 | thePort (LogicalPort
|
|---|
| 8505 | m 1
|
|---|
| 8506 | decl (Decl
|
|---|
| 8507 | n "mosi"
|
|---|
| 8508 | t "std_logic"
|
|---|
| 8509 | o 7
|
|---|
| 8510 | suid 19,0
|
|---|
| 8511 | i "'0'"
|
|---|
| 8512 | )
|
|---|
| 8513 | )
|
|---|
| 8514 | )
|
|---|
| 8515 | *268 (CptPort
|
|---|
| 8516 | uid 6317,0
|
|---|
| 8517 | ps "OnEdgeStrategy"
|
|---|
| 8518 | shape (Diamond
|
|---|
| 8519 | uid 6318,0
|
|---|
| 8520 | ro 270
|
|---|
| 8521 | va (VaSet
|
|---|
| 8522 | vasetType 1
|
|---|
| 8523 | fg "0,65535,0"
|
|---|
| 8524 | )
|
|---|
| 8525 | xt "20250,107625,21000,108375"
|
|---|
| 8526 | )
|
|---|
| 8527 | tg (CPTG
|
|---|
| 8528 | uid 6319,0
|
|---|
| 8529 | ps "CptPortTextPlaceStrategy"
|
|---|
| 8530 | stg "VerticalLayoutStrategy"
|
|---|
| 8531 | f (Text
|
|---|
| 8532 | uid 6320,0
|
|---|
| 8533 | va (VaSet
|
|---|
| 8534 | )
|
|---|
| 8535 | xt "22000,107500,24000,108500"
|
|---|
| 8536 | st "miso"
|
|---|
| 8537 | blo "22000,108300"
|
|---|
| 8538 | )
|
|---|
| 8539 | )
|
|---|
| 8540 | thePort (LogicalPort
|
|---|
| 8541 | m 2
|
|---|
| 8542 | decl (Decl
|
|---|
| 8543 | n "miso"
|
|---|
| 8544 | t "std_logic"
|
|---|
| 8545 | preAdd 0
|
|---|
| 8546 | posAdd 0
|
|---|
| 8547 | o 12
|
|---|
| 8548 | suid 20,0
|
|---|
| 8549 | )
|
|---|
| 8550 | )
|
|---|
| 8551 | )
|
|---|
| 8552 | ]
|
|---|
| 8553 | shape (Rectangle
|
|---|
| 8554 | uid 5794,0
|
|---|
| 8555 | va (VaSet
|
|---|
| 8556 | vasetType 1
|
|---|
| 8557 | fg "0,65535,0"
|
|---|
| 8558 | lineColor "0,32896,0"
|
|---|
| 8559 | lineWidth 2
|
|---|
| 8560 | )
|
|---|
| 8561 | xt "21000,100000,37000,112000"
|
|---|
| 8562 | )
|
|---|
| 8563 | oxt "15000,12000,30000,26000"
|
|---|
| 8564 | ttg (MlTextGroup
|
|---|
| 8565 | uid 5795,0
|
|---|
| 8566 | ps "CenterOffsetStrategy"
|
|---|
| 8567 | stg "VerticalLayoutStrategy"
|
|---|
| 8568 | textVec [
|
|---|
| 8569 | *269 (Text
|
|---|
| 8570 | uid 5796,0
|
|---|
| 8571 | va (VaSet
|
|---|
| 8572 | font "Arial,8,1"
|
|---|
| 8573 | )
|
|---|
| 8574 | xt "21200,112000,27400,113000"
|
|---|
| 8575 | st "FACT_FAD_lib"
|
|---|
| 8576 | blo "21200,112800"
|
|---|
| 8577 | tm "BdLibraryNameMgr"
|
|---|
| 8578 | )
|
|---|
| 8579 | *270 (Text
|
|---|
| 8580 | uid 5797,0
|
|---|
| 8581 | va (VaSet
|
|---|
| 8582 | font "Arial,8,1"
|
|---|
| 8583 | )
|
|---|
| 8584 | xt "21200,113000,26700,114000"
|
|---|
| 8585 | st "spi_interface"
|
|---|
| 8586 | blo "21200,113800"
|
|---|
| 8587 | tm "CptNameMgr"
|
|---|
| 8588 | )
|
|---|
| 8589 | *271 (Text
|
|---|
| 8590 | uid 5798,0
|
|---|
| 8591 | va (VaSet
|
|---|
| 8592 | font "Arial,8,1"
|
|---|
| 8593 | )
|
|---|
| 8594 | xt "21200,114000,30000,115000"
|
|---|
| 8595 | st "I_main_SPI_interface"
|
|---|
| 8596 | blo "21200,114800"
|
|---|
| 8597 | tm "InstanceNameMgr"
|
|---|
| 8598 | )
|
|---|
| 8599 | ]
|
|---|
| 8600 | )
|
|---|
| 8601 | ga (GenericAssociation
|
|---|
| 8602 | uid 5799,0
|
|---|
| 8603 | ps "EdgeToEdgeStrategy"
|
|---|
| 8604 | matrix (Matrix
|
|---|
| 8605 | uid 5800,0
|
|---|
| 8606 | text (MLText
|
|---|
| 8607 | uid 5801,0
|
|---|
| 8608 | va (VaSet
|
|---|
| 8609 | font "Courier New,8,0"
|
|---|
| 8610 | )
|
|---|
| 8611 | xt "6000,100000,6000,100000"
|
|---|
| 8612 | )
|
|---|
| 8613 | header ""
|
|---|
| 8614 | )
|
|---|
| 8615 | elements [
|
|---|
| 8616 | ]
|
|---|
| 8617 | )
|
|---|
| 8618 | viewicon (ZoomableIcon
|
|---|
| 8619 | uid 5802,0
|
|---|
| 8620 | sl 0
|
|---|
| 8621 | va (VaSet
|
|---|
| 8622 | vasetType 1
|
|---|
| 8623 | fg "49152,49152,49152"
|
|---|
| 8624 | )
|
|---|
| 8625 | xt "21250,110250,22750,111750"
|
|---|
| 8626 | iconName "BlockDiagram.png"
|
|---|
| 8627 | iconMaskName "BlockDiagram.msk"
|
|---|
| 8628 | ftype 1
|
|---|
| 8629 | )
|
|---|
| 8630 | viewiconposition 0
|
|---|
| 8631 | portVis (PortSigDisplay
|
|---|
| 8632 | )
|
|---|
| 8633 | archFileType "UNKNOWN"
|
|---|
| 8634 | )
|
|---|
| 8635 | *272 (Net
|
|---|
| 8636 | uid 5811,0
|
|---|
| 8637 | decl (Decl
|
|---|
| 8638 | n "sclk"
|
|---|
| 8639 | t "std_logic"
|
|---|
| 8640 | o 23
|
|---|
| 8641 | suid 151,0
|
|---|
| 8642 | )
|
|---|
| 8643 | declText (MLText
|
|---|
| 8644 | uid 5812,0
|
|---|
| 8645 | va (VaSet
|
|---|
| 8646 | font "Courier New,8,0"
|
|---|
| 8647 | )
|
|---|
| 8648 | xt "-85000,35800,-66500,36600"
|
|---|
| 8649 | st "sclk : std_logic"
|
|---|
| 8650 | )
|
|---|
| 8651 | )
|
|---|
| 8652 | *273 (Net
|
|---|
| 8653 | uid 5819,0
|
|---|
| 8654 | decl (Decl
|
|---|
| 8655 | n "sio"
|
|---|
| 8656 | t "std_logic"
|
|---|
| 8657 | preAdd 0
|
|---|
| 8658 | posAdd 0
|
|---|
| 8659 | o 30
|
|---|
| 8660 | suid 152,0
|
|---|
| 8661 | )
|
|---|
| 8662 | declText (MLText
|
|---|
| 8663 | uid 5820,0
|
|---|
| 8664 | va (VaSet
|
|---|
| 8665 | font "Courier New,8,0"
|
|---|
| 8666 | )
|
|---|
| 8667 | xt "-85000,41400,-66500,42200"
|
|---|
| 8668 | st "sio : std_logic"
|
|---|
| 8669 | )
|
|---|
| 8670 | )
|
|---|
| 8671 | *274 (Net
|
|---|
| 8672 | uid 5827,0
|
|---|
| 8673 | decl (Decl
|
|---|
| 8674 | n "dac_cs"
|
|---|
| 8675 | t "std_logic"
|
|---|
| 8676 | o 17
|
|---|
| 8677 | suid 153,0
|
|---|
| 8678 | )
|
|---|
| 8679 | declText (MLText
|
|---|
| 8680 | uid 5828,0
|
|---|
| 8681 | va (VaSet
|
|---|
| 8682 | font "Courier New,8,0"
|
|---|
| 8683 | )
|
|---|
| 8684 | xt "-85000,31000,-66500,31800"
|
|---|
| 8685 | st "dac_cs : std_logic"
|
|---|
| 8686 | )
|
|---|
| 8687 | )
|
|---|
| 8688 | *275 (Net
|
|---|
| 8689 | uid 5835,0
|
|---|
| 8690 | decl (Decl
|
|---|
| 8691 | n "sensor_cs"
|
|---|
| 8692 | t "std_logic_vector"
|
|---|
| 8693 | b "(3 DOWNTO 0)"
|
|---|
| 8694 | o 24
|
|---|
| 8695 | suid 154,0
|
|---|
| 8696 | )
|
|---|
| 8697 | declText (MLText
|
|---|
| 8698 | uid 5836,0
|
|---|
| 8699 | va (VaSet
|
|---|
| 8700 | font "Courier New,8,0"
|
|---|
| 8701 | )
|
|---|
| 8702 | xt "-85000,36600,-56500,37400"
|
|---|
| 8703 | st "sensor_cs : std_logic_vector(3 DOWNTO 0)"
|
|---|
| 8704 | )
|
|---|
| 8705 | )
|
|---|
| 8706 | *276 (PortIoOut
|
|---|
| 8707 | uid 5843,0
|
|---|
| 8708 | shape (CompositeShape
|
|---|
| 8709 | uid 5844,0
|
|---|
| 8710 | va (VaSet
|
|---|
| 8711 | vasetType 1
|
|---|
| 8712 | fg "0,0,32768"
|
|---|
| 8713 | )
|
|---|
| 8714 | optionalChildren [
|
|---|
| 8715 | (Pentagon
|
|---|
| 8716 | uid 5845,0
|
|---|
| 8717 | sl 0
|
|---|
| 8718 | ro 90
|
|---|
| 8719 | xt "10000,106625,11500,107375"
|
|---|
| 8720 | )
|
|---|
| 8721 | (Line
|
|---|
| 8722 | uid 5846,0
|
|---|
| 8723 | sl 0
|
|---|
| 8724 | ro 90
|
|---|
| 8725 | xt "11500,107000,12000,107000"
|
|---|
| 8726 | pts [
|
|---|
| 8727 | "12000,107000"
|
|---|
| 8728 | "11500,107000"
|
|---|
| 8729 | ]
|
|---|
| 8730 | )
|
|---|
| 8731 | ]
|
|---|
| 8732 | )
|
|---|
| 8733 | stc 0
|
|---|
| 8734 | sf 1
|
|---|
| 8735 | tg (WTG
|
|---|
| 8736 | uid 5847,0
|
|---|
| 8737 | ps "PortIoTextPlaceStrategy"
|
|---|
| 8738 | stg "STSignalDisplayStrategy"
|
|---|
| 8739 | f (Text
|
|---|
| 8740 | uid 5848,0
|
|---|
| 8741 | va (VaSet
|
|---|
| 8742 | )
|
|---|
| 8743 | xt "7300,106500,9000,107500"
|
|---|
| 8744 | st "sclk"
|
|---|
| 8745 | ju 2
|
|---|
| 8746 | blo "9000,107300"
|
|---|
| 8747 | tm "WireNameMgr"
|
|---|
| 8748 | )
|
|---|
| 8749 | )
|
|---|
| 8750 | )
|
|---|
| 8751 | *277 (PortIoInOut
|
|---|
| 8752 | uid 5849,0
|
|---|
| 8753 | shape (CompositeShape
|
|---|
| 8754 | uid 5850,0
|
|---|
| 8755 | va (VaSet
|
|---|
| 8756 | vasetType 1
|
|---|
| 8757 | fg "0,0,32768"
|
|---|
| 8758 | )
|
|---|
| 8759 | optionalChildren [
|
|---|
| 8760 | (Hexagon
|
|---|
| 8761 | uid 5851,0
|
|---|
| 8762 | sl 0
|
|---|
| 8763 | ro 180
|
|---|
| 8764 | xt "10000,107625,11500,108375"
|
|---|
| 8765 | )
|
|---|
| 8766 | (Line
|
|---|
| 8767 | uid 5852,0
|
|---|
| 8768 | sl 0
|
|---|
| 8769 | ro 180
|
|---|
| 8770 | xt "11500,108000,12000,108000"
|
|---|
| 8771 | pts [
|
|---|
| 8772 | "12000,108000"
|
|---|
| 8773 | "11500,108000"
|
|---|
| 8774 | ]
|
|---|
| 8775 | )
|
|---|
| 8776 | ]
|
|---|
| 8777 | )
|
|---|
| 8778 | stc 0
|
|---|
| 8779 | sf 1
|
|---|
| 8780 | tg (WTG
|
|---|
| 8781 | uid 5853,0
|
|---|
| 8782 | ps "PortIoTextPlaceStrategy"
|
|---|
| 8783 | stg "STSignalDisplayStrategy"
|
|---|
| 8784 | f (Text
|
|---|
| 8785 | uid 5854,0
|
|---|
| 8786 | va (VaSet
|
|---|
| 8787 | )
|
|---|
| 8788 | xt "7600,107500,9000,108500"
|
|---|
| 8789 | st "sio"
|
|---|
| 8790 | ju 2
|
|---|
| 8791 | blo "9000,108300"
|
|---|
| 8792 | tm "WireNameMgr"
|
|---|
| 8793 | )
|
|---|
| 8794 | )
|
|---|
| 8795 | )
|
|---|
| 8796 | *278 (PortIoOut
|
|---|
| 8797 | uid 5855,0
|
|---|
| 8798 | shape (CompositeShape
|
|---|
| 8799 | uid 5856,0
|
|---|
| 8800 | va (VaSet
|
|---|
| 8801 | vasetType 1
|
|---|
| 8802 | fg "0,0,32768"
|
|---|
| 8803 | )
|
|---|
| 8804 | optionalChildren [
|
|---|
| 8805 | (Pentagon
|
|---|
| 8806 | uid 5857,0
|
|---|
| 8807 | sl 0
|
|---|
| 8808 | ro 90
|
|---|
| 8809 | xt "10000,104625,11500,105375"
|
|---|
| 8810 | )
|
|---|
| 8811 | (Line
|
|---|
| 8812 | uid 5858,0
|
|---|
| 8813 | sl 0
|
|---|
| 8814 | ro 90
|
|---|
| 8815 | xt "11500,105000,12000,105000"
|
|---|
| 8816 | pts [
|
|---|
| 8817 | "12000,105000"
|
|---|
| 8818 | "11500,105000"
|
|---|
| 8819 | ]
|
|---|
| 8820 | )
|
|---|
| 8821 | ]
|
|---|
| 8822 | )
|
|---|
| 8823 | stc 0
|
|---|
| 8824 | sf 1
|
|---|
| 8825 | tg (WTG
|
|---|
| 8826 | uid 5859,0
|
|---|
| 8827 | ps "PortIoTextPlaceStrategy"
|
|---|
| 8828 | stg "STSignalDisplayStrategy"
|
|---|
| 8829 | f (Text
|
|---|
| 8830 | uid 5860,0
|
|---|
| 8831 | va (VaSet
|
|---|
| 8832 | )
|
|---|
| 8833 | xt "6200,104500,9000,105500"
|
|---|
| 8834 | st "dac_cs"
|
|---|
| 8835 | ju 2
|
|---|
| 8836 | blo "9000,105300"
|
|---|
| 8837 | tm "WireNameMgr"
|
|---|
| 8838 | )
|
|---|
| 8839 | )
|
|---|
| 8840 | )
|
|---|
| 8841 | *279 (PortIoOut
|
|---|
| 8842 | uid 5861,0
|
|---|
| 8843 | shape (CompositeShape
|
|---|
| 8844 | uid 5862,0
|
|---|
| 8845 | va (VaSet
|
|---|
| 8846 | vasetType 1
|
|---|
| 8847 | fg "0,0,32768"
|
|---|
| 8848 | )
|
|---|
| 8849 | optionalChildren [
|
|---|
| 8850 | (Pentagon
|
|---|
| 8851 | uid 5863,0
|
|---|
| 8852 | sl 0
|
|---|
| 8853 | ro 90
|
|---|
| 8854 | xt "10000,103625,11500,104375"
|
|---|
| 8855 | )
|
|---|
| 8856 | (Line
|
|---|
| 8857 | uid 5864,0
|
|---|
| 8858 | sl 0
|
|---|
| 8859 | ro 90
|
|---|
| 8860 | xt "11500,104000,12000,104000"
|
|---|
| 8861 | pts [
|
|---|
| 8862 | "12000,104000"
|
|---|
| 8863 | "11500,104000"
|
|---|
| 8864 | ]
|
|---|
| 8865 | )
|
|---|
| 8866 | ]
|
|---|
| 8867 | )
|
|---|
| 8868 | stc 0
|
|---|
| 8869 | sf 1
|
|---|
| 8870 | tg (WTG
|
|---|
| 8871 | uid 5865,0
|
|---|
| 8872 | ps "PortIoTextPlaceStrategy"
|
|---|
| 8873 | stg "STSignalDisplayStrategy"
|
|---|
| 8874 | f (Text
|
|---|
| 8875 | uid 5866,0
|
|---|
| 8876 | va (VaSet
|
|---|
| 8877 | )
|
|---|
| 8878 | xt "5100,103500,9000,104500"
|
|---|
| 8879 | st "sensor_cs"
|
|---|
| 8880 | ju 2
|
|---|
| 8881 | blo "9000,104300"
|
|---|
| 8882 | tm "WireNameMgr"
|
|---|
| 8883 | )
|
|---|
| 8884 | )
|
|---|
| 8885 | )
|
|---|
| 8886 | *280 (Net
|
|---|
| 8887 | uid 5948,0
|
|---|
| 8888 | decl (Decl
|
|---|
| 8889 | n "new_config"
|
|---|
| 8890 | t "std_logic"
|
|---|
| 8891 | o 60
|
|---|
| 8892 | suid 155,0
|
|---|
| 8893 | i "'0'"
|
|---|
| 8894 | )
|
|---|
| 8895 | declText (MLText
|
|---|
| 8896 | uid 5949,0
|
|---|
| 8897 | va (VaSet
|
|---|
| 8898 | font "Courier New,8,0"
|
|---|
| 8899 | )
|
|---|
| 8900 | xt "-85000,67400,-41500,68200"
|
|---|
| 8901 | st "SIGNAL new_config : std_logic := '0'"
|
|---|
| 8902 | )
|
|---|
| 8903 | )
|
|---|
| 8904 | *281 (Net
|
|---|
| 8905 | uid 5960,0
|
|---|
| 8906 | decl (Decl
|
|---|
| 8907 | n "config_started"
|
|---|
| 8908 | t "std_logic"
|
|---|
| 8909 | o 47
|
|---|
| 8910 | suid 156,0
|
|---|
| 8911 | )
|
|---|
| 8912 | declText (MLText
|
|---|
| 8913 | uid 5961,0
|
|---|
| 8914 | va (VaSet
|
|---|
| 8915 | font "Courier New,8,0"
|
|---|
| 8916 | )
|
|---|
| 8917 | xt "-85000,57000,-62500,57800"
|
|---|
| 8918 | st "SIGNAL config_started : std_logic"
|
|---|
| 8919 | )
|
|---|
| 8920 | )
|
|---|
| 8921 | *282 (Net
|
|---|
| 8922 | uid 6012,0
|
|---|
| 8923 | decl (Decl
|
|---|
| 8924 | n "config_started_spi"
|
|---|
| 8925 | t "std_logic"
|
|---|
| 8926 | o 50
|
|---|
| 8927 | suid 159,0
|
|---|
| 8928 | i "'0'"
|
|---|
| 8929 | )
|
|---|
| 8930 | declText (MLText
|
|---|
| 8931 | uid 6013,0
|
|---|
| 8932 | va (VaSet
|
|---|
| 8933 | font "Courier New,8,0"
|
|---|
| 8934 | )
|
|---|
| 8935 | xt "-85000,59400,-41500,60200"
|
|---|
| 8936 | st "SIGNAL config_started_spi : std_logic := '0'"
|
|---|
| 8937 | )
|
|---|
| 8938 | )
|
|---|
| 8939 | *283 (Net
|
|---|
| 8940 | uid 6014,0
|
|---|
| 8941 | decl (Decl
|
|---|
| 8942 | n "config_started_cu"
|
|---|
| 8943 | t "std_logic"
|
|---|
| 8944 | o 48
|
|---|
| 8945 | suid 160,0
|
|---|
| 8946 | i "'0'"
|
|---|
| 8947 | )
|
|---|
| 8948 | declText (MLText
|
|---|
| 8949 | uid 6015,0
|
|---|
| 8950 | va (VaSet
|
|---|
| 8951 | font "Courier New,8,0"
|
|---|
| 8952 | )
|
|---|
| 8953 | xt "-85000,57800,-41500,58600"
|
|---|
| 8954 | st "SIGNAL config_started_cu : std_logic := '0'"
|
|---|
| 8955 | )
|
|---|
| 8956 | )
|
|---|
| 8957 | *284 (Net
|
|---|
| 8958 | uid 6016,0
|
|---|
| 8959 | decl (Decl
|
|---|
| 8960 | n "config_started_mm"
|
|---|
| 8961 | t "std_logic"
|
|---|
| 8962 | o 49
|
|---|
| 8963 | suid 161,0
|
|---|
| 8964 | )
|
|---|
| 8965 | declText (MLText
|
|---|
| 8966 | uid 6017,0
|
|---|
| 8967 | va (VaSet
|
|---|
| 8968 | font "Courier New,8,0"
|
|---|
| 8969 | )
|
|---|
| 8970 | xt "-85000,58600,-62500,59400"
|
|---|
| 8971 | st "SIGNAL config_started_mm : std_logic"
|
|---|
| 8972 | )
|
|---|
| 8973 | )
|
|---|
| 8974 | *285 (Net
|
|---|
| 8975 | uid 6158,0
|
|---|
| 8976 | decl (Decl
|
|---|
| 8977 | n "mosi"
|
|---|
| 8978 | t "std_logic"
|
|---|
| 8979 | o 22
|
|---|
| 8980 | suid 162,0
|
|---|
| 8981 | i "'0'"
|
|---|
| 8982 | )
|
|---|
| 8983 | declText (MLText
|
|---|
| 8984 | uid 6159,0
|
|---|
| 8985 | va (VaSet
|
|---|
| 8986 | font "Courier New,8,0"
|
|---|
| 8987 | )
|
|---|
| 8988 | xt "-85000,35000,-45000,35800"
|
|---|
| 8989 | st "mosi : std_logic := '0'"
|
|---|
| 8990 | )
|
|---|
| 8991 | )
|
|---|
| 8992 | *286 (PortIoOut
|
|---|
| 8993 | uid 6166,0
|
|---|
| 8994 | shape (CompositeShape
|
|---|
| 8995 | uid 6167,0
|
|---|
| 8996 | va (VaSet
|
|---|
| 8997 | vasetType 1
|
|---|
| 8998 | fg "0,0,32768"
|
|---|
| 8999 | )
|
|---|
| 9000 | optionalChildren [
|
|---|
| 9001 | (Pentagon
|
|---|
| 9002 | uid 6168,0
|
|---|
| 9003 | sl 0
|
|---|
| 9004 | ro 90
|
|---|
| 9005 | xt "10000,108625,11500,109375"
|
|---|
| 9006 | )
|
|---|
| 9007 | (Line
|
|---|
| 9008 | uid 6169,0
|
|---|
| 9009 | sl 0
|
|---|
| 9010 | ro 90
|
|---|
| 9011 | xt "11500,109000,12000,109000"
|
|---|
| 9012 | pts [
|
|---|
| 9013 | "12000,109000"
|
|---|
| 9014 | "11500,109000"
|
|---|
| 9015 | ]
|
|---|
| 9016 | )
|
|---|
| 9017 | ]
|
|---|
| 9018 | )
|
|---|
| 9019 | stc 0
|
|---|
| 9020 | sf 1
|
|---|
| 9021 | tg (WTG
|
|---|
| 9022 | uid 6170,0
|
|---|
| 9023 | ps "PortIoTextPlaceStrategy"
|
|---|
| 9024 | stg "STSignalDisplayStrategy"
|
|---|
| 9025 | f (Text
|
|---|
| 9026 | uid 6171,0
|
|---|
| 9027 | va (VaSet
|
|---|
| 9028 | )
|
|---|
| 9029 | xt "7000,108500,9000,109500"
|
|---|
| 9030 | st "mosi"
|
|---|
| 9031 | ju 2
|
|---|
| 9032 | blo "9000,109300"
|
|---|
| 9033 | tm "WireNameMgr"
|
|---|
| 9034 | )
|
|---|
| 9035 | )
|
|---|
| 9036 | )
|
|---|
| 9037 | *287 (Net
|
|---|
| 9038 | uid 6360,0
|
|---|
| 9039 | decl (Decl
|
|---|
| 9040 | n "denable"
|
|---|
| 9041 | t "std_logic"
|
|---|
| 9042 | eolc "-- default domino wave off"
|
|---|
| 9043 | posAdd 0
|
|---|
| 9044 | o 18
|
|---|
| 9045 | suid 166,0
|
|---|
| 9046 | i "'0'"
|
|---|
| 9047 | )
|
|---|
| 9048 | declText (MLText
|
|---|
| 9049 | uid 6361,0
|
|---|
| 9050 | va (VaSet
|
|---|
| 9051 | font "Courier New,8,0"
|
|---|
| 9052 | )
|
|---|
| 9053 | xt "-85000,31800,-31500,32600"
|
|---|
| 9054 | st "denable : std_logic := '0' -- default domino wave off"
|
|---|
| 9055 | )
|
|---|
| 9056 | )
|
|---|
| 9057 | *288 (PortIoOut
|
|---|
| 9058 | uid 6368,0
|
|---|
| 9059 | shape (CompositeShape
|
|---|
| 9060 | uid 6369,0
|
|---|
| 9061 | va (VaSet
|
|---|
| 9062 | vasetType 1
|
|---|
| 9063 | fg "0,0,32768"
|
|---|
| 9064 | )
|
|---|
| 9065 | optionalChildren [
|
|---|
| 9066 | (Pentagon
|
|---|
| 9067 | uid 6370,0
|
|---|
| 9068 | sl 0
|
|---|
| 9069 | ro 270
|
|---|
| 9070 | xt "153500,74625,155000,75375"
|
|---|
| 9071 | )
|
|---|
| 9072 | (Line
|
|---|
| 9073 | uid 6371,0
|
|---|
| 9074 | sl 0
|
|---|
| 9075 | ro 270
|
|---|
| 9076 | xt "153000,75000,153500,75000"
|
|---|
| 9077 | pts [
|
|---|
| 9078 | "153000,75000"
|
|---|
| 9079 | "153500,75000"
|
|---|
| 9080 | ]
|
|---|
| 9081 | )
|
|---|
| 9082 | ]
|
|---|
| 9083 | )
|
|---|
| 9084 | stc 0
|
|---|
| 9085 | sf 1
|
|---|
| 9086 | tg (WTG
|
|---|
| 9087 | uid 6372,0
|
|---|
| 9088 | ps "PortIoTextPlaceStrategy"
|
|---|
| 9089 | stg "STSignalDisplayStrategy"
|
|---|
| 9090 | f (Text
|
|---|
| 9091 | uid 6373,0
|
|---|
| 9092 | va (VaSet
|
|---|
| 9093 | )
|
|---|
| 9094 | xt "156000,74500,159000,75500"
|
|---|
| 9095 | st "denable"
|
|---|
| 9096 | blo "156000,75300"
|
|---|
| 9097 | tm "WireNameMgr"
|
|---|
| 9098 | )
|
|---|
| 9099 | )
|
|---|
| 9100 | )
|
|---|
| 9101 | *289 (Net
|
|---|
| 9102 | uid 6450,0
|
|---|
| 9103 | decl (Decl
|
|---|
| 9104 | n "dwrite_enable"
|
|---|
| 9105 | t "std_logic"
|
|---|
| 9106 | o 59
|
|---|
| 9107 | suid 167,0
|
|---|
| 9108 | i "'1'"
|
|---|
| 9109 | )
|
|---|
| 9110 | declText (MLText
|
|---|
| 9111 | uid 6451,0
|
|---|
| 9112 | va (VaSet
|
|---|
| 9113 | font "Courier New,8,0"
|
|---|
| 9114 | )
|
|---|
| 9115 | xt "-85000,66600,-41500,67400"
|
|---|
| 9116 | st "SIGNAL dwrite_enable : std_logic := '1'"
|
|---|
| 9117 | )
|
|---|
| 9118 | )
|
|---|
| 9119 | *290 (MWC
|
|---|
| 9120 | uid 6529,0
|
|---|
| 9121 | optionalChildren [
|
|---|
| 9122 | *291 (CptPort
|
|---|
| 9123 | uid 6501,0
|
|---|
| 9124 | optionalChildren [
|
|---|
| 9125 | *292 (Line
|
|---|
| 9126 | uid 6505,0
|
|---|
| 9127 | layer 5
|
|---|
| 9128 | sl 0
|
|---|
| 9129 | va (VaSet
|
|---|
| 9130 | vasetType 3
|
|---|
| 9131 | )
|
|---|
| 9132 | xt "1000,60000,2000,60000"
|
|---|
| 9133 | pts [
|
|---|
| 9134 | "1000,60000"
|
|---|
| 9135 | "2000,60000"
|
|---|
| 9136 | ]
|
|---|
| 9137 | )
|
|---|
| 9138 | *293 (Property
|
|---|
| 9139 | uid 6506,0
|
|---|
| 9140 | pclass "_MW_GEOM_"
|
|---|
| 9141 | pname "fixed"
|
|---|
| 9142 | ptn "String"
|
|---|
| 9143 | )
|
|---|
| 9144 | ]
|
|---|
| 9145 | ps "OnEdgeStrategy"
|
|---|
| 9146 | shape (Triangle
|
|---|
| 9147 | uid 6502,0
|
|---|
| 9148 | ro 270
|
|---|
| 9149 | va (VaSet
|
|---|
| 9150 | vasetType 1
|
|---|
| 9151 | isHidden 1
|
|---|
| 9152 | fg "0,65535,65535"
|
|---|
| 9153 | )
|
|---|
| 9154 | xt "250,59625,1000,60375"
|
|---|
| 9155 | )
|
|---|
| 9156 | tg (CPTG
|
|---|
| 9157 | uid 6503,0
|
|---|
| 9158 | ps "CptPortTextPlaceStrategy"
|
|---|
| 9159 | stg "VerticalLayoutStrategy"
|
|---|
| 9160 | f (Text
|
|---|
| 9161 | uid 6504,0
|
|---|
| 9162 | sl 0
|
|---|
| 9163 | va (VaSet
|
|---|
| 9164 | isHidden 1
|
|---|
| 9165 | font "arial,8,0"
|
|---|
| 9166 | )
|
|---|
| 9167 | xt "21669,299342,23469,300342"
|
|---|
| 9168 | st "dout"
|
|---|
| 9169 | blo "21669,300142"
|
|---|
| 9170 | )
|
|---|
| 9171 | )
|
|---|
| 9172 | thePort (LogicalPort
|
|---|
| 9173 | m 1
|
|---|
| 9174 | decl (Decl
|
|---|
| 9175 | n "dout"
|
|---|
| 9176 | t "std_logic"
|
|---|
| 9177 | o 20
|
|---|
| 9178 | suid 1,0
|
|---|
| 9179 | i "'1'"
|
|---|
| 9180 | )
|
|---|
| 9181 | )
|
|---|
| 9182 | )
|
|---|
| 9183 | *294 (CptPort
|
|---|
| 9184 | uid 6507,0
|
|---|
| 9185 | optionalChildren [
|
|---|
| 9186 | *295 (Line
|
|---|
| 9187 | uid 6511,0
|
|---|
| 9188 | layer 5
|
|---|
| 9189 | sl 0
|
|---|
| 9190 | va (VaSet
|
|---|
| 9191 | vasetType 3
|
|---|
| 9192 | )
|
|---|
| 9193 | xt "6000,59000,7000,59000"
|
|---|
| 9194 | pts [
|
|---|
| 9195 | "7000,59000"
|
|---|
| 9196 | "6000,59000"
|
|---|
| 9197 | ]
|
|---|
| 9198 | )
|
|---|
| 9199 | ]
|
|---|
| 9200 | ps "OnEdgeStrategy"
|
|---|
| 9201 | shape (Triangle
|
|---|
| 9202 | uid 6508,0
|
|---|
| 9203 | ro 270
|
|---|
| 9204 | va (VaSet
|
|---|
| 9205 | vasetType 1
|
|---|
| 9206 | isHidden 1
|
|---|
| 9207 | fg "0,65535,65535"
|
|---|
| 9208 | )
|
|---|
| 9209 | xt "7000,58625,7750,59375"
|
|---|
| 9210 | )
|
|---|
| 9211 | tg (CPTG
|
|---|
| 9212 | uid 6509,0
|
|---|
| 9213 | ps "CptPortTextPlaceStrategy"
|
|---|
| 9214 | stg "RightVerticalLayoutStrategy"
|
|---|
| 9215 | f (Text
|
|---|
| 9216 | uid 6510,0
|
|---|
| 9217 | sl 0
|
|---|
| 9218 | va (VaSet
|
|---|
| 9219 | isHidden 1
|
|---|
| 9220 | font "arial,8,0"
|
|---|
| 9221 | )
|
|---|
| 9222 | xt "24635,298294,26435,299294"
|
|---|
| 9223 | st "din0"
|
|---|
| 9224 | ju 2
|
|---|
| 9225 | blo "26435,299094"
|
|---|
| 9226 | )
|
|---|
| 9227 | )
|
|---|
| 9228 | thePort (LogicalPort
|
|---|
| 9229 | decl (Decl
|
|---|
| 9230 | n "din0"
|
|---|
| 9231 | t "std_logic"
|
|---|
| 9232 | o 58
|
|---|
| 9233 | suid 2,0
|
|---|
| 9234 | i "'1'"
|
|---|
| 9235 | )
|
|---|
| 9236 | )
|
|---|
| 9237 | )
|
|---|
| 9238 | *296 (CptPort
|
|---|
| 9239 | uid 6512,0
|
|---|
| 9240 | optionalChildren [
|
|---|
| 9241 | *297 (Line
|
|---|
| 9242 | uid 6516,0
|
|---|
| 9243 | layer 5
|
|---|
| 9244 | sl 0
|
|---|
| 9245 | va (VaSet
|
|---|
| 9246 | vasetType 3
|
|---|
| 9247 | )
|
|---|
| 9248 | xt "6000,61000,7000,61000"
|
|---|
| 9249 | pts [
|
|---|
| 9250 | "7000,61000"
|
|---|
| 9251 | "6000,61000"
|
|---|
| 9252 | ]
|
|---|
| 9253 | )
|
|---|
| 9254 | ]
|
|---|
| 9255 | ps "OnEdgeStrategy"
|
|---|
| 9256 | shape (Triangle
|
|---|
| 9257 | uid 6513,0
|
|---|
| 9258 | ro 270
|
|---|
| 9259 | va (VaSet
|
|---|
| 9260 | vasetType 1
|
|---|
| 9261 | isHidden 1
|
|---|
| 9262 | fg "0,65535,65535"
|
|---|
| 9263 | )
|
|---|
| 9264 | xt "7000,60625,7750,61375"
|
|---|
| 9265 | )
|
|---|
| 9266 | tg (CPTG
|
|---|
| 9267 | uid 6514,0
|
|---|
| 9268 | ps "CptPortTextPlaceStrategy"
|
|---|
| 9269 | stg "RightVerticalLayoutStrategy"
|
|---|
| 9270 | f (Text
|
|---|
| 9271 | uid 6515,0
|
|---|
| 9272 | sl 0
|
|---|
| 9273 | va (VaSet
|
|---|
| 9274 | isHidden 1
|
|---|
| 9275 | font "arial,8,0"
|
|---|
| 9276 | )
|
|---|
| 9277 | xt "24750,300700,26550,301700"
|
|---|
| 9278 | st "din1"
|
|---|
| 9279 | ju 2
|
|---|
| 9280 | blo "26550,301500"
|
|---|
| 9281 | )
|
|---|
| 9282 | )
|
|---|
| 9283 | thePort (LogicalPort
|
|---|
| 9284 | decl (Decl
|
|---|
| 9285 | n "din1"
|
|---|
| 9286 | t "std_logic"
|
|---|
| 9287 | o 59
|
|---|
| 9288 | suid 3,0
|
|---|
| 9289 | i "'1'"
|
|---|
| 9290 | )
|
|---|
| 9291 | )
|
|---|
| 9292 | )
|
|---|
| 9293 | *298 (CommentGraphic
|
|---|
| 9294 | uid 6517,0
|
|---|
| 9295 | optionalChildren [
|
|---|
| 9296 | *299 (Property
|
|---|
| 9297 | uid 6519,0
|
|---|
| 9298 | pclass "_MW_GEOM_"
|
|---|
| 9299 | pname "expand"
|
|---|
| 9300 | ptn "String"
|
|---|
| 9301 | )
|
|---|
| 9302 | ]
|
|---|
| 9303 | shape (PolyLine2D
|
|---|
| 9304 | pts [
|
|---|
| 9305 | "6000,62000"
|
|---|
| 9306 | "6000,62000"
|
|---|
| 9307 | ]
|
|---|
| 9308 | uid 6518,0
|
|---|
| 9309 | layer 0
|
|---|
| 9310 | sl 0
|
|---|
| 9311 | va (VaSet
|
|---|
| 9312 | vasetType 1
|
|---|
| 9313 | transparent 1
|
|---|
| 9314 | fg "49152,49152,49152"
|
|---|
| 9315 | )
|
|---|
| 9316 | xt "6000,62000,6000,62000"
|
|---|
| 9317 | )
|
|---|
| 9318 | oxt "11000,10000,11000,10000"
|
|---|
| 9319 | )
|
|---|
| 9320 | *300 (CommentGraphic
|
|---|
| 9321 | uid 6520,0
|
|---|
| 9322 | optionalChildren [
|
|---|
| 9323 | *301 (Property
|
|---|
| 9324 | uid 6522,0
|
|---|
| 9325 | pclass "_MW_GEOM_"
|
|---|
| 9326 | pname "expand"
|
|---|
| 9327 | ptn "String"
|
|---|
| 9328 | )
|
|---|
| 9329 | ]
|
|---|
| 9330 | shape (PolyLine2D
|
|---|
| 9331 | pts [
|
|---|
| 9332 | "6000,58000"
|
|---|
| 9333 | "6000,58000"
|
|---|
| 9334 | ]
|
|---|
| 9335 | uid 6521,0
|
|---|
| 9336 | layer 0
|
|---|
| 9337 | sl 0
|
|---|
| 9338 | va (VaSet
|
|---|
| 9339 | vasetType 1
|
|---|
| 9340 | transparent 1
|
|---|
| 9341 | fg "49152,49152,49152"
|
|---|
| 9342 | )
|
|---|
| 9343 | xt "6000,58000,6000,58000"
|
|---|
| 9344 | )
|
|---|
| 9345 | oxt "11000,6000,11000,6000"
|
|---|
| 9346 | )
|
|---|
| 9347 | *302 (Grouping
|
|---|
| 9348 | uid 6523,0
|
|---|
| 9349 | optionalChildren [
|
|---|
| 9350 | *303 (CommentGraphic
|
|---|
| 9351 | uid 6525,0
|
|---|
| 9352 | shape (PolyLine2D
|
|---|
| 9353 | pts [
|
|---|
| 9354 | "4000,58000"
|
|---|
| 9355 | "6000,58000"
|
|---|
| 9356 | "6000,62000"
|
|---|
| 9357 | "4000,62000"
|
|---|
| 9358 | ]
|
|---|
| 9359 | uid 6526,0
|
|---|
| 9360 | layer 0
|
|---|
| 9361 | sl 0
|
|---|
| 9362 | va (VaSet
|
|---|
| 9363 | vasetType 1
|
|---|
| 9364 | fg "0,65535,65535"
|
|---|
| 9365 | lineColor "26368,26368,26368"
|
|---|
| 9366 | )
|
|---|
| 9367 | xt "4000,58000,6000,62000"
|
|---|
| 9368 | )
|
|---|
| 9369 | oxt "9000,6000,11000,10000"
|
|---|
| 9370 | )
|
|---|
| 9371 | *304 (CommentGraphic
|
|---|
| 9372 | uid 6527,0
|
|---|
| 9373 | shape (Arc2D
|
|---|
| 9374 | pts [
|
|---|
| 9375 | "4000,62000"
|
|---|
| 9376 | "2000,60000"
|
|---|
| 9377 | "4000,58000"
|
|---|
| 9378 | ]
|
|---|
| 9379 | uid 6528,0
|
|---|
| 9380 | layer 0
|
|---|
| 9381 | sl 0
|
|---|
| 9382 | va (VaSet
|
|---|
| 9383 | vasetType 1
|
|---|
| 9384 | fg "0,65535,65535"
|
|---|
| 9385 | lineColor "26368,26368,26368"
|
|---|
| 9386 | )
|
|---|
| 9387 | xt "2000,58000,4000,62000"
|
|---|
| 9388 | )
|
|---|
| 9389 | oxt "7000,6000,9000,10000"
|
|---|
| 9390 | )
|
|---|
| 9391 | ]
|
|---|
| 9392 | shape (GroupingShape
|
|---|
| 9393 | uid 6524,0
|
|---|
| 9394 | sl 0
|
|---|
| 9395 | va (VaSet
|
|---|
| 9396 | vasetType 1
|
|---|
| 9397 | fg "65535,65535,65535"
|
|---|
| 9398 | lineStyle 2
|
|---|
| 9399 | lineWidth 2
|
|---|
| 9400 | )
|
|---|
| 9401 | xt "2000,58000,6000,62000"
|
|---|
| 9402 | )
|
|---|
| 9403 | oxt "7000,6000,11000,10000"
|
|---|
| 9404 | )
|
|---|
| 9405 | ]
|
|---|
| 9406 | shape (Rectangle
|
|---|
| 9407 | uid 6530,0
|
|---|
| 9408 | va (VaSet
|
|---|
| 9409 | vasetType 1
|
|---|
| 9410 | transparent 1
|
|---|
| 9411 | fg "65535,65535,65535"
|
|---|
| 9412 | lineWidth -1
|
|---|
| 9413 | )
|
|---|
| 9414 | xt "1000,58000,7000,62000"
|
|---|
| 9415 | fos 1
|
|---|
| 9416 | )
|
|---|
| 9417 | showPorts 0
|
|---|
| 9418 | oxt "6000,6000,12000,10000"
|
|---|
| 9419 | ttg (MlTextGroup
|
|---|
| 9420 | uid 6531,0
|
|---|
| 9421 | ps "CenterOffsetStrategy"
|
|---|
| 9422 | stg "VerticalLayoutStrategy"
|
|---|
| 9423 | textVec [
|
|---|
| 9424 | *305 (Text
|
|---|
| 9425 | uid 6532,0
|
|---|
| 9426 | va (VaSet
|
|---|
| 9427 | isHidden 1
|
|---|
| 9428 | font "arial,8,0"
|
|---|
| 9429 | )
|
|---|
| 9430 | xt "3500,58500,8300,59500"
|
|---|
| 9431 | st "moduleware"
|
|---|
| 9432 | blo "3500,59300"
|
|---|
| 9433 | )
|
|---|
| 9434 | *306 (Text
|
|---|
| 9435 | uid 6533,0
|
|---|
| 9436 | va (VaSet
|
|---|
| 9437 | font "arial,8,0"
|
|---|
| 9438 | )
|
|---|
| 9439 | xt "3500,59500,5100,60500"
|
|---|
| 9440 | st "and"
|
|---|
| 9441 | blo "3500,60300"
|
|---|
| 9442 | )
|
|---|
| 9443 | *307 (Text
|
|---|
| 9444 | uid 6534,0
|
|---|
| 9445 | va (VaSet
|
|---|
| 9446 | font "arial,8,0"
|
|---|
| 9447 | )
|
|---|
| 9448 | xt "3500,60500,4500,61500"
|
|---|
| 9449 | st "I5"
|
|---|
| 9450 | blo "3500,61300"
|
|---|
| 9451 | tm "InstanceNameMgr"
|
|---|
| 9452 | )
|
|---|
| 9453 | ]
|
|---|
| 9454 | )
|
|---|
| 9455 | ga (GenericAssociation
|
|---|
| 9456 | uid 6535,0
|
|---|
| 9457 | ps "EdgeToEdgeStrategy"
|
|---|
| 9458 | matrix (Matrix
|
|---|
| 9459 | uid 6536,0
|
|---|
| 9460 | text (MLText
|
|---|
| 9461 | uid 6537,0
|
|---|
| 9462 | va (VaSet
|
|---|
| 9463 | font "arial,8,0"
|
|---|
| 9464 | )
|
|---|
| 9465 | xt "-14000,49000,-14000,49000"
|
|---|
| 9466 | )
|
|---|
| 9467 | header ""
|
|---|
| 9468 | )
|
|---|
| 9469 | elements [
|
|---|
| 9470 | ]
|
|---|
| 9471 | )
|
|---|
| 9472 | sed 1
|
|---|
| 9473 | awe 1
|
|---|
| 9474 | portVis (PortSigDisplay
|
|---|
| 9475 | sN 0
|
|---|
| 9476 | sTC 0
|
|---|
| 9477 | selT 0
|
|---|
| 9478 | )
|
|---|
| 9479 | prms (Property
|
|---|
| 9480 | pclass "params"
|
|---|
| 9481 | pname "params"
|
|---|
| 9482 | ptn "String"
|
|---|
| 9483 | )
|
|---|
| 9484 | de 1
|
|---|
| 9485 | visOptions (mwParamsVisibilityOptions
|
|---|
| 9486 | )
|
|---|
| 9487 | )
|
|---|
| 9488 | *308 (Net
|
|---|
| 9489 | uid 6544,0
|
|---|
| 9490 | decl (Decl
|
|---|
| 9491 | n "dwrite"
|
|---|
| 9492 | t "std_logic"
|
|---|
| 9493 | o 58
|
|---|
| 9494 | suid 169,0
|
|---|
| 9495 | i "'1'"
|
|---|
| 9496 | )
|
|---|
| 9497 | declText (MLText
|
|---|
| 9498 | uid 6545,0
|
|---|
| 9499 | va (VaSet
|
|---|
| 9500 | font "Courier New,8,0"
|
|---|
| 9501 | )
|
|---|
| 9502 | xt "-85000,65800,-41500,66600"
|
|---|
| 9503 | st "SIGNAL dwrite : std_logic := '1'"
|
|---|
| 9504 | )
|
|---|
| 9505 | )
|
|---|
| 9506 | *309 (SaComponent
|
|---|
| 9507 | uid 8277,0
|
|---|
| 9508 | optionalChildren [
|
|---|
| 9509 | *310 (CptPort
|
|---|
| 9510 | uid 8246,0
|
|---|
| 9511 | ps "OnEdgeStrategy"
|
|---|
| 9512 | shape (Triangle
|
|---|
| 9513 | uid 8247,0
|
|---|
| 9514 | ro 90
|
|---|
| 9515 | va (VaSet
|
|---|
| 9516 | vasetType 1
|
|---|
| 9517 | fg "0,65535,0"
|
|---|
| 9518 | )
|
|---|
| 9519 | xt "76250,43625,77000,44375"
|
|---|
| 9520 | )
|
|---|
| 9521 | tg (CPTG
|
|---|
| 9522 | uid 8248,0
|
|---|
| 9523 | ps "CptPortTextPlaceStrategy"
|
|---|
| 9524 | stg "VerticalLayoutStrategy"
|
|---|
| 9525 | f (Text
|
|---|
| 9526 | uid 8249,0
|
|---|
| 9527 | va (VaSet
|
|---|
| 9528 | font "arial,8,0"
|
|---|
| 9529 | )
|
|---|
| 9530 | xt "78000,43500,79700,44500"
|
|---|
| 9531 | st "clka"
|
|---|
| 9532 | blo "78000,44300"
|
|---|
| 9533 | )
|
|---|
| 9534 | )
|
|---|
| 9535 | thePort (LogicalPort
|
|---|
| 9536 | decl (Decl
|
|---|
| 9537 | n "clka"
|
|---|
| 9538 | t "std_logic"
|
|---|
| 9539 | preAdd 0
|
|---|
| 9540 | posAdd 0
|
|---|
| 9541 | o 1
|
|---|
| 9542 | suid 1,0
|
|---|
| 9543 | )
|
|---|
| 9544 | )
|
|---|
| 9545 | )
|
|---|
| 9546 | *311 (CptPort
|
|---|
| 9547 | uid 8250,0
|
|---|
| 9548 | ps "OnEdgeStrategy"
|
|---|
| 9549 | shape (Triangle
|
|---|
| 9550 | uid 8251,0
|
|---|
| 9551 | ro 90
|
|---|
| 9552 | va (VaSet
|
|---|
| 9553 | vasetType 1
|
|---|
| 9554 | fg "0,65535,0"
|
|---|
| 9555 | )
|
|---|
| 9556 | xt "76250,49625,77000,50375"
|
|---|
| 9557 | )
|
|---|
| 9558 | tg (CPTG
|
|---|
| 9559 | uid 8252,0
|
|---|
| 9560 | ps "CptPortTextPlaceStrategy"
|
|---|
| 9561 | stg "VerticalLayoutStrategy"
|
|---|
| 9562 | f (Text
|
|---|
| 9563 | uid 8253,0
|
|---|
| 9564 | va (VaSet
|
|---|
| 9565 | font "arial,8,0"
|
|---|
| 9566 | )
|
|---|
| 9567 | xt "78000,49500,82800,50500"
|
|---|
| 9568 | st "dina : (63:0)"
|
|---|
| 9569 | blo "78000,50300"
|
|---|
| 9570 | )
|
|---|
| 9571 | )
|
|---|
| 9572 | thePort (LogicalPort
|
|---|
| 9573 | decl (Decl
|
|---|
| 9574 | n "dina"
|
|---|
| 9575 | t "std_logic_VECTOR"
|
|---|
| 9576 | b "(63 downto 0)"
|
|---|
| 9577 | preAdd 0
|
|---|
| 9578 | posAdd 0
|
|---|
| 9579 | o 2
|
|---|
| 9580 | suid 2,0
|
|---|
| 9581 | )
|
|---|
| 9582 | )
|
|---|
| 9583 | )
|
|---|
| 9584 | *312 (CptPort
|
|---|
| 9585 | uid 8254,0
|
|---|
| 9586 | ps "OnEdgeStrategy"
|
|---|
| 9587 | shape (Triangle
|
|---|
| 9588 | uid 8255,0
|
|---|
| 9589 | ro 90
|
|---|
| 9590 | va (VaSet
|
|---|
| 9591 | vasetType 1
|
|---|
| 9592 | fg "0,65535,0"
|
|---|
| 9593 | )
|
|---|
| 9594 | xt "76250,48625,77000,49375"
|
|---|
| 9595 | )
|
|---|
| 9596 | tg (CPTG
|
|---|
| 9597 | uid 8256,0
|
|---|
| 9598 | ps "CptPortTextPlaceStrategy"
|
|---|
| 9599 | stg "VerticalLayoutStrategy"
|
|---|
| 9600 | f (Text
|
|---|
| 9601 | uid 8257,0
|
|---|
| 9602 | va (VaSet
|
|---|
| 9603 | font "arial,8,0"
|
|---|
| 9604 | )
|
|---|
| 9605 | xt "78000,48500,83300,49500"
|
|---|
| 9606 | st "addra : (14:0)"
|
|---|
| 9607 | blo "78000,49300"
|
|---|
| 9608 | )
|
|---|
| 9609 | )
|
|---|
| 9610 | thePort (LogicalPort
|
|---|
| 9611 | decl (Decl
|
|---|
| 9612 | n "addra"
|
|---|
| 9613 | t "std_logic_VECTOR"
|
|---|
| 9614 | b "(14 downto 0)"
|
|---|
| 9615 | preAdd 0
|
|---|
| 9616 | posAdd 0
|
|---|
| 9617 | o 3
|
|---|
| 9618 | suid 3,0
|
|---|
| 9619 | )
|
|---|
| 9620 | )
|
|---|
| 9621 | )
|
|---|
| 9622 | *313 (CptPort
|
|---|
| 9623 | uid 8258,0
|
|---|
| 9624 | ps "OnEdgeStrategy"
|
|---|
| 9625 | shape (Triangle
|
|---|
| 9626 | uid 8259,0
|
|---|
| 9627 | ro 90
|
|---|
| 9628 | va (VaSet
|
|---|
| 9629 | vasetType 1
|
|---|
| 9630 | fg "0,65535,0"
|
|---|
| 9631 | )
|
|---|
| 9632 | xt "76250,47625,77000,48375"
|
|---|
| 9633 | )
|
|---|
| 9634 | tg (CPTG
|
|---|
| 9635 | uid 8260,0
|
|---|
| 9636 | ps "CptPortTextPlaceStrategy"
|
|---|
| 9637 | stg "VerticalLayoutStrategy"
|
|---|
| 9638 | f (Text
|
|---|
| 9639 | uid 8261,0
|
|---|
| 9640 | va (VaSet
|
|---|
| 9641 | font "arial,8,0"
|
|---|
| 9642 | )
|
|---|
| 9643 | xt "78000,47500,82300,48500"
|
|---|
| 9644 | st "wea : (0:0)"
|
|---|
| 9645 | blo "78000,48300"
|
|---|
| 9646 | )
|
|---|
| 9647 | )
|
|---|
| 9648 | thePort (LogicalPort
|
|---|
| 9649 | decl (Decl
|
|---|
| 9650 | n "wea"
|
|---|
| 9651 | t "std_logic_VECTOR"
|
|---|
| 9652 | b "(0 downto 0)"
|
|---|
| 9653 | preAdd 0
|
|---|
| 9654 | posAdd 0
|
|---|
| 9655 | o 4
|
|---|
| 9656 | suid 4,0
|
|---|
| 9657 | )
|
|---|
| 9658 | )
|
|---|
| 9659 | )
|
|---|
| 9660 | *314 (CptPort
|
|---|
| 9661 | uid 8262,0
|
|---|
| 9662 | ps "OnEdgeStrategy"
|
|---|
| 9663 | shape (Triangle
|
|---|
| 9664 | uid 8263,0
|
|---|
| 9665 | ro 270
|
|---|
| 9666 | va (VaSet
|
|---|
| 9667 | vasetType 1
|
|---|
| 9668 | fg "0,65535,0"
|
|---|
| 9669 | )
|
|---|
| 9670 | xt "91000,43625,91750,44375"
|
|---|
| 9671 | )
|
|---|
| 9672 | tg (CPTG
|
|---|
| 9673 | uid 8264,0
|
|---|
| 9674 | ps "CptPortTextPlaceStrategy"
|
|---|
| 9675 | stg "RightVerticalLayoutStrategy"
|
|---|
| 9676 | f (Text
|
|---|
| 9677 | uid 8265,0
|
|---|
| 9678 | va (VaSet
|
|---|
| 9679 | font "arial,8,0"
|
|---|
| 9680 | )
|
|---|
| 9681 | xt "88300,43500,90000,44500"
|
|---|
| 9682 | st "clkb"
|
|---|
| 9683 | ju 2
|
|---|
| 9684 | blo "90000,44300"
|
|---|
| 9685 | )
|
|---|
| 9686 | )
|
|---|
| 9687 | thePort (LogicalPort
|
|---|
| 9688 | decl (Decl
|
|---|
| 9689 | n "clkb"
|
|---|
| 9690 | t "std_logic"
|
|---|
| 9691 | preAdd 0
|
|---|
| 9692 | posAdd 0
|
|---|
| 9693 | o 5
|
|---|
| 9694 | suid 5,0
|
|---|
| 9695 | )
|
|---|
| 9696 | )
|
|---|
| 9697 | )
|
|---|
| 9698 | *315 (CptPort
|
|---|
| 9699 | uid 8266,0
|
|---|
| 9700 | ps "OnEdgeStrategy"
|
|---|
| 9701 | shape (Triangle
|
|---|
| 9702 | uid 8267,0
|
|---|
| 9703 | ro 270
|
|---|
| 9704 | va (VaSet
|
|---|
| 9705 | vasetType 1
|
|---|
| 9706 | fg "0,65535,0"
|
|---|
| 9707 | )
|
|---|
| 9708 | xt "91000,48625,91750,49375"
|
|---|
| 9709 | )
|
|---|
| 9710 | tg (CPTG
|
|---|
| 9711 | uid 8268,0
|
|---|
| 9712 | ps "CptPortTextPlaceStrategy"
|
|---|
| 9713 | stg "RightVerticalLayoutStrategy"
|
|---|
| 9714 | f (Text
|
|---|
| 9715 | uid 8269,0
|
|---|
| 9716 | va (VaSet
|
|---|
| 9717 | font "arial,8,0"
|
|---|
| 9718 | )
|
|---|
| 9719 | xt "84700,48500,90000,49500"
|
|---|
| 9720 | st "addrb : (16:0)"
|
|---|
| 9721 | ju 2
|
|---|
| 9722 | blo "90000,49300"
|
|---|
| 9723 | )
|
|---|
| 9724 | )
|
|---|
| 9725 | thePort (LogicalPort
|
|---|
| 9726 | decl (Decl
|
|---|
| 9727 | n "addrb"
|
|---|
| 9728 | t "std_logic_VECTOR"
|
|---|
| 9729 | b "(16 downto 0)"
|
|---|
| 9730 | preAdd 0
|
|---|
| 9731 | posAdd 0
|
|---|
| 9732 | o 6
|
|---|
| 9733 | suid 6,0
|
|---|
| 9734 | )
|
|---|
| 9735 | )
|
|---|
| 9736 | )
|
|---|
| 9737 | *316 (CptPort
|
|---|
| 9738 | uid 8270,0
|
|---|
| 9739 | ps "OnEdgeStrategy"
|
|---|
| 9740 | shape (Triangle
|
|---|
| 9741 | uid 8271,0
|
|---|
| 9742 | ro 90
|
|---|
| 9743 | va (VaSet
|
|---|
| 9744 | vasetType 1
|
|---|
| 9745 | fg "0,65535,0"
|
|---|
| 9746 | )
|
|---|
| 9747 | xt "91000,49625,91750,50375"
|
|---|
| 9748 | )
|
|---|
| 9749 | tg (CPTG
|
|---|
| 9750 | uid 8272,0
|
|---|
| 9751 | ps "CptPortTextPlaceStrategy"
|
|---|
| 9752 | stg "RightVerticalLayoutStrategy"
|
|---|
| 9753 | f (Text
|
|---|
| 9754 | uid 8273,0
|
|---|
| 9755 | va (VaSet
|
|---|
| 9756 | font "arial,8,0"
|
|---|
| 9757 | )
|
|---|
| 9758 | xt "84800,49500,90000,50500"
|
|---|
| 9759 | st "doutb : (15:0)"
|
|---|
| 9760 | ju 2
|
|---|
| 9761 | blo "90000,50300"
|
|---|
| 9762 | )
|
|---|
| 9763 | )
|
|---|
| 9764 | thePort (LogicalPort
|
|---|
| 9765 | m 1
|
|---|
| 9766 | decl (Decl
|
|---|
| 9767 | n "doutb"
|
|---|
| 9768 | t "std_logic_VECTOR"
|
|---|
| 9769 | b "(15 downto 0)"
|
|---|
| 9770 | preAdd 0
|
|---|
| 9771 | posAdd 0
|
|---|
| 9772 | o 7
|
|---|
| 9773 | suid 7,0
|
|---|
| 9774 | )
|
|---|
| 9775 | )
|
|---|
| 9776 | )
|
|---|
| 9777 | ]
|
|---|
| 9778 | shape (Rectangle
|
|---|
| 9779 | uid 8278,0
|
|---|
| 9780 | va (VaSet
|
|---|
| 9781 | vasetType 1
|
|---|
| 9782 | fg "0,65535,0"
|
|---|
| 9783 | lineColor "0,32896,0"
|
|---|
| 9784 | lineWidth 2
|
|---|
| 9785 | )
|
|---|
| 9786 | xt "77000,42000,91000,52000"
|
|---|
| 9787 | )
|
|---|
| 9788 | oxt "30000,7000,40000,21000"
|
|---|
| 9789 | ttg (MlTextGroup
|
|---|
| 9790 | uid 8279,0
|
|---|
| 9791 | ps "CenterOffsetStrategy"
|
|---|
| 9792 | stg "VerticalLayoutStrategy"
|
|---|
| 9793 | textVec [
|
|---|
| 9794 | *317 (Text
|
|---|
| 9795 | uid 8280,0
|
|---|
| 9796 | va (VaSet
|
|---|
| 9797 | font "arial,8,1"
|
|---|
| 9798 | )
|
|---|
| 9799 | xt "77200,52000,83400,53000"
|
|---|
| 9800 | st "FACT_FAD_lib"
|
|---|
| 9801 | blo "77200,52800"
|
|---|
| 9802 | tm "BdLibraryNameMgr"
|
|---|
| 9803 | )
|
|---|
| 9804 | *318 (Text
|
|---|
| 9805 | uid 8281,0
|
|---|
| 9806 | va (VaSet
|
|---|
| 9807 | font "arial,8,1"
|
|---|
| 9808 | )
|
|---|
| 9809 | xt "77200,53000,89200,54000"
|
|---|
| 9810 | st "dataRAM_64b_16b_width14_5"
|
|---|
| 9811 | blo "77200,53800"
|
|---|
| 9812 | tm "CptNameMgr"
|
|---|
| 9813 | )
|
|---|
| 9814 | *319 (Text
|
|---|
| 9815 | uid 8282,0
|
|---|
| 9816 | va (VaSet
|
|---|
| 9817 | font "arial,8,1"
|
|---|
| 9818 | )
|
|---|
| 9819 | xt "77200,54000,79000,55000"
|
|---|
| 9820 | st "U_4"
|
|---|
| 9821 | blo "77200,54800"
|
|---|
| 9822 | tm "InstanceNameMgr"
|
|---|
| 9823 | )
|
|---|
| 9824 | ]
|
|---|
| 9825 | )
|
|---|
| 9826 | ga (GenericAssociation
|
|---|
| 9827 | uid 8283,0
|
|---|
| 9828 | ps "EdgeToEdgeStrategy"
|
|---|
| 9829 | matrix (Matrix
|
|---|
| 9830 | uid 8284,0
|
|---|
| 9831 | text (MLText
|
|---|
| 9832 | uid 8285,0
|
|---|
| 9833 | va (VaSet
|
|---|
| 9834 | font "Courier New,8,0"
|
|---|
| 9835 | )
|
|---|
| 9836 | xt "76500,41000,76500,41000"
|
|---|
| 9837 | )
|
|---|
| 9838 | header ""
|
|---|
| 9839 | )
|
|---|
| 9840 | elements [
|
|---|
| 9841 | ]
|
|---|
| 9842 | )
|
|---|
| 9843 | viewicon (ZoomableIcon
|
|---|
| 9844 | uid 8286,0
|
|---|
| 9845 | sl 0
|
|---|
| 9846 | va (VaSet
|
|---|
| 9847 | vasetType 1
|
|---|
| 9848 | fg "49152,49152,49152"
|
|---|
| 9849 | )
|
|---|
| 9850 | xt "77250,50250,78750,51750"
|
|---|
| 9851 | iconName "VhdlFileViewIcon.png"
|
|---|
| 9852 | iconMaskName "VhdlFileViewIcon.msk"
|
|---|
| 9853 | ftype 10
|
|---|
| 9854 | )
|
|---|
| 9855 | ordering 1
|
|---|
| 9856 | viewiconposition 0
|
|---|
| 9857 | portVis (PortSigDisplay
|
|---|
| 9858 | sIVOD 1
|
|---|
| 9859 | )
|
|---|
| 9860 | archFileType "UNKNOWN"
|
|---|
| 9861 | )
|
|---|
| 9862 | *320 (Net
|
|---|
| 9863 | uid 8414,0
|
|---|
| 9864 | lang 2
|
|---|
| 9865 | decl (Decl
|
|---|
| 9866 | n "wiz_ack"
|
|---|
| 9867 | t "std_logic"
|
|---|
| 9868 | o 81
|
|---|
| 9869 | suid 183,0
|
|---|
| 9870 | )
|
|---|
| 9871 | declText (MLText
|
|---|
| 9872 | uid 8415,0
|
|---|
| 9873 | va (VaSet
|
|---|
| 9874 | font "Courier New,8,0"
|
|---|
| 9875 | )
|
|---|
| 9876 | xt "-85000,77800,-62500,78600"
|
|---|
| 9877 | st "SIGNAL wiz_ack : std_logic"
|
|---|
| 9878 | )
|
|---|
| 9879 | )
|
|---|
| 9880 | *321 (Wire
|
|---|
| 9881 | uid 322,0
|
|---|
| 9882 | shape (OrthoPolyLine
|
|---|
| 9883 | uid 323,0
|
|---|
| 9884 | va (VaSet
|
|---|
| 9885 | vasetType 3
|
|---|
| 9886 | lineWidth 2
|
|---|
| 9887 | )
|
|---|
| 9888 | xt "40750,48000,76250,48000"
|
|---|
| 9889 | pts [
|
|---|
| 9890 | "40750,48000"
|
|---|
| 9891 | "55000,48000"
|
|---|
| 9892 | "76250,48000"
|
|---|
| 9893 | ]
|
|---|
| 9894 | )
|
|---|
| 9895 | start &26
|
|---|
| 9896 | end &313
|
|---|
| 9897 | sat 32
|
|---|
| 9898 | eat 32
|
|---|
| 9899 | sty 1
|
|---|
| 9900 | st 0
|
|---|
| 9901 | sf 1
|
|---|
| 9902 | si 0
|
|---|
| 9903 | tg (WTG
|
|---|
| 9904 | uid 324,0
|
|---|
| 9905 | ps "ConnStartEndStrategy"
|
|---|
| 9906 | stg "STSignalDisplayStrategy"
|
|---|
| 9907 | f (Text
|
|---|
| 9908 | uid 325,0
|
|---|
| 9909 | va (VaSet
|
|---|
| 9910 | )
|
|---|
| 9911 | xt "42000,47000,47800,48000"
|
|---|
| 9912 | st "write_ea : (0:0)"
|
|---|
| 9913 | blo "42000,47800"
|
|---|
| 9914 | tm "WireNameMgr"
|
|---|
| 9915 | )
|
|---|
| 9916 | )
|
|---|
| 9917 | on &2
|
|---|
| 9918 | )
|
|---|
| 9919 | *322 (Wire
|
|---|
| 9920 | uid 328,0
|
|---|
| 9921 | shape (OrthoPolyLine
|
|---|
| 9922 | uid 329,0
|
|---|
| 9923 | va (VaSet
|
|---|
| 9924 | vasetType 3
|
|---|
| 9925 | lineWidth 2
|
|---|
| 9926 | )
|
|---|
| 9927 | xt "40750,49000,76250,49000"
|
|---|
| 9928 | pts [
|
|---|
| 9929 | "40750,49000"
|
|---|
| 9930 | "55000,49000"
|
|---|
| 9931 | "76250,49000"
|
|---|
| 9932 | ]
|
|---|
| 9933 | )
|
|---|
| 9934 | start &25
|
|---|
| 9935 | end &312
|
|---|
| 9936 | sat 32
|
|---|
| 9937 | eat 32
|
|---|
| 9938 | sty 1
|
|---|
| 9939 | st 0
|
|---|
| 9940 | sf 1
|
|---|
| 9941 | si 0
|
|---|
| 9942 | tg (WTG
|
|---|
| 9943 | uid 330,0
|
|---|
| 9944 | ps "ConnStartEndStrategy"
|
|---|
| 9945 | stg "STSignalDisplayStrategy"
|
|---|
| 9946 | f (Text
|
|---|
| 9947 | uid 331,0
|
|---|
| 9948 | va (VaSet
|
|---|
| 9949 | )
|
|---|
| 9950 | xt "42000,48000,56500,49000"
|
|---|
| 9951 | st "addr_out : (RAMADDRWIDTH64b-1:0)"
|
|---|
| 9952 | blo "42000,48800"
|
|---|
| 9953 | tm "WireNameMgr"
|
|---|
| 9954 | )
|
|---|
| 9955 | )
|
|---|
| 9956 | on &3
|
|---|
| 9957 | )
|
|---|
| 9958 | *323 (Wire
|
|---|
| 9959 | uid 334,0
|
|---|
| 9960 | shape (OrthoPolyLine
|
|---|
| 9961 | uid 335,0
|
|---|
| 9962 | va (VaSet
|
|---|
| 9963 | vasetType 3
|
|---|
| 9964 | lineWidth 2
|
|---|
| 9965 | )
|
|---|
| 9966 | xt "40750,50000,76250,50000"
|
|---|
| 9967 | pts [
|
|---|
| 9968 | "40750,50000"
|
|---|
| 9969 | "55000,50000"
|
|---|
| 9970 | "76250,50000"
|
|---|
| 9971 | ]
|
|---|
| 9972 | )
|
|---|
| 9973 | start &24
|
|---|
| 9974 | end &311
|
|---|
| 9975 | sat 32
|
|---|
| 9976 | eat 32
|
|---|
| 9977 | sty 1
|
|---|
| 9978 | st 0
|
|---|
| 9979 | sf 1
|
|---|
| 9980 | si 0
|
|---|
| 9981 | tg (WTG
|
|---|
| 9982 | uid 336,0
|
|---|
| 9983 | ps "ConnStartEndStrategy"
|
|---|
| 9984 | stg "STSignalDisplayStrategy"
|
|---|
| 9985 | f (Text
|
|---|
| 9986 | uid 337,0
|
|---|
| 9987 | va (VaSet
|
|---|
| 9988 | )
|
|---|
| 9989 | xt "42000,49000,48200,50000"
|
|---|
| 9990 | st "data_out : (63:0)"
|
|---|
| 9991 | blo "42000,49800"
|
|---|
| 9992 | tm "WireNameMgr"
|
|---|
| 9993 | )
|
|---|
| 9994 | )
|
|---|
| 9995 | on &4
|
|---|
| 9996 | )
|
|---|
| 9997 | *324 (Wire
|
|---|
| 9998 | uid 364,0
|
|---|
| 9999 | shape (OrthoPolyLine
|
|---|
| 10000 | uid 365,0
|
|---|
| 10001 | va (VaSet
|
|---|
| 10002 | vasetType 3
|
|---|
| 10003 | lineWidth 2
|
|---|
| 10004 | )
|
|---|
| 10005 | xt "91750,49000,126250,54000"
|
|---|
| 10006 | pts [
|
|---|
| 10007 | "126250,54000"
|
|---|
| 10008 | "113000,54000"
|
|---|
| 10009 | "113000,49000"
|
|---|
| 10010 | "91750,49000"
|
|---|
| 10011 | ]
|
|---|
| 10012 | )
|
|---|
| 10013 | start &79
|
|---|
| 10014 | end &315
|
|---|
| 10015 | sat 32
|
|---|
| 10016 | eat 32
|
|---|
| 10017 | sty 1
|
|---|
| 10018 | st 0
|
|---|
| 10019 | sf 1
|
|---|
| 10020 | si 0
|
|---|
| 10021 | tg (WTG
|
|---|
| 10022 | uid 366,0
|
|---|
| 10023 | ps "ConnStartEndStrategy"
|
|---|
| 10024 | stg "STSignalDisplayStrategy"
|
|---|
| 10025 | f (Text
|
|---|
| 10026 | uid 367,0
|
|---|
| 10027 | va (VaSet
|
|---|
| 10028 | )
|
|---|
| 10029 | xt "94000,48000,109000,49000"
|
|---|
| 10030 | st "ram_addr : (RAMADDRWIDTH64b+1:0)"
|
|---|
| 10031 | blo "94000,48800"
|
|---|
| 10032 | tm "WireNameMgr"
|
|---|
| 10033 | )
|
|---|
| 10034 | )
|
|---|
| 10035 | on &5
|
|---|
| 10036 | )
|
|---|
| 10037 | *325 (Wire
|
|---|
| 10038 | uid 370,0
|
|---|
| 10039 | shape (OrthoPolyLine
|
|---|
| 10040 | uid 371,0
|
|---|
| 10041 | va (VaSet
|
|---|
| 10042 | vasetType 3
|
|---|
| 10043 | lineWidth 2
|
|---|
| 10044 | )
|
|---|
| 10045 | xt "91750,50000,126250,55000"
|
|---|
| 10046 | pts [
|
|---|
| 10047 | "126250,55000"
|
|---|
| 10048 | "112000,55000"
|
|---|
| 10049 | "112000,50000"
|
|---|
| 10050 | "91750,50000"
|
|---|
| 10051 | ]
|
|---|
| 10052 | )
|
|---|
| 10053 | start &78
|
|---|
| 10054 | end &316
|
|---|
| 10055 | sat 32
|
|---|
| 10056 | eat 32
|
|---|
| 10057 | sty 1
|
|---|
| 10058 | st 0
|
|---|
| 10059 | sf 1
|
|---|
| 10060 | si 0
|
|---|
| 10061 | tg (WTG
|
|---|
| 10062 | uid 372,0
|
|---|
| 10063 | ps "ConnStartEndStrategy"
|
|---|
| 10064 | stg "STSignalDisplayStrategy"
|
|---|
| 10065 | f (Text
|
|---|
| 10066 | uid 373,0
|
|---|
| 10067 | va (VaSet
|
|---|
| 10068 | )
|
|---|
| 10069 | xt "95000,50000,101500,51000"
|
|---|
| 10070 | st "ram_data : (15:0)"
|
|---|
| 10071 | blo "95000,50800"
|
|---|
| 10072 | tm "WireNameMgr"
|
|---|
| 10073 | )
|
|---|
| 10074 | )
|
|---|
| 10075 | on &6
|
|---|
| 10076 | )
|
|---|
| 10077 | *326 (Wire
|
|---|
| 10078 | uid 376,0
|
|---|
| 10079 | shape (OrthoPolyLine
|
|---|
| 10080 | uid 377,0
|
|---|
| 10081 | va (VaSet
|
|---|
| 10082 | vasetType 3
|
|---|
| 10083 | )
|
|---|
| 10084 | xt "148750,52000,153000,52000"
|
|---|
| 10085 | pts [
|
|---|
| 10086 | "148750,52000"
|
|---|
| 10087 | "153000,52000"
|
|---|
| 10088 | ]
|
|---|
| 10089 | )
|
|---|
| 10090 | start &69
|
|---|
| 10091 | end &14
|
|---|
| 10092 | sat 32
|
|---|
| 10093 | eat 32
|
|---|
| 10094 | stc 0
|
|---|
| 10095 | st 0
|
|---|
| 10096 | sf 1
|
|---|
| 10097 | si 0
|
|---|
| 10098 | tg (WTG
|
|---|
| 10099 | uid 380,0
|
|---|
| 10100 | ps "ConnStartEndStrategy"
|
|---|
| 10101 | stg "STSignalDisplayStrategy"
|
|---|
| 10102 | f (Text
|
|---|
| 10103 | uid 381,0
|
|---|
| 10104 | va (VaSet
|
|---|
| 10105 | isHidden 1
|
|---|
| 10106 | )
|
|---|
| 10107 | xt "150000,51000,153600,52000"
|
|---|
| 10108 | st "wiz_reset"
|
|---|
| 10109 | blo "150000,51800"
|
|---|
| 10110 | tm "WireNameMgr"
|
|---|
| 10111 | )
|
|---|
| 10112 | )
|
|---|
| 10113 | on &7
|
|---|
| 10114 | )
|
|---|
| 10115 | *327 (Wire
|
|---|
| 10116 | uid 384,0
|
|---|
| 10117 | shape (OrthoPolyLine
|
|---|
| 10118 | uid 385,0
|
|---|
| 10119 | va (VaSet
|
|---|
| 10120 | vasetType 3
|
|---|
| 10121 | lineWidth 2
|
|---|
| 10122 | )
|
|---|
| 10123 | xt "148750,60000,153000,60000"
|
|---|
| 10124 | pts [
|
|---|
| 10125 | "148750,60000"
|
|---|
| 10126 | "153000,60000"
|
|---|
| 10127 | ]
|
|---|
| 10128 | )
|
|---|
| 10129 | start &70
|
|---|
| 10130 | end &15
|
|---|
| 10131 | sat 32
|
|---|
| 10132 | eat 32
|
|---|
| 10133 | sty 1
|
|---|
| 10134 | stc 0
|
|---|
| 10135 | st 0
|
|---|
| 10136 | sf 1
|
|---|
| 10137 | si 0
|
|---|
| 10138 | tg (WTG
|
|---|
| 10139 | uid 388,0
|
|---|
| 10140 | ps "ConnStartEndStrategy"
|
|---|
| 10141 | stg "STSignalDisplayStrategy"
|
|---|
| 10142 | f (Text
|
|---|
| 10143 | uid 389,0
|
|---|
| 10144 | va (VaSet
|
|---|
| 10145 | isHidden 1
|
|---|
| 10146 | )
|
|---|
| 10147 | xt "150000,59000,153400,60000"
|
|---|
| 10148 | st "wiz_addr"
|
|---|
| 10149 | blo "150000,59800"
|
|---|
| 10150 | tm "WireNameMgr"
|
|---|
| 10151 | )
|
|---|
| 10152 | )
|
|---|
| 10153 | on &8
|
|---|
| 10154 | )
|
|---|
| 10155 | *328 (Wire
|
|---|
| 10156 | uid 392,0
|
|---|
| 10157 | shape (OrthoPolyLine
|
|---|
| 10158 | uid 393,0
|
|---|
| 10159 | va (VaSet
|
|---|
| 10160 | vasetType 3
|
|---|
| 10161 | lineWidth 2
|
|---|
| 10162 | )
|
|---|
| 10163 | xt "148750,61000,153000,61000"
|
|---|
| 10164 | pts [
|
|---|
| 10165 | "148750,61000"
|
|---|
| 10166 | "153000,61000"
|
|---|
| 10167 | ]
|
|---|
| 10168 | )
|
|---|
| 10169 | start &71
|
|---|
| 10170 | end &16
|
|---|
| 10171 | sat 32
|
|---|
| 10172 | eat 32
|
|---|
| 10173 | sty 1
|
|---|
| 10174 | stc 0
|
|---|
| 10175 | st 0
|
|---|
| 10176 | sf 1
|
|---|
| 10177 | si 0
|
|---|
| 10178 | tg (WTG
|
|---|
| 10179 | uid 396,0
|
|---|
| 10180 | ps "ConnStartEndStrategy"
|
|---|
| 10181 | stg "STSignalDisplayStrategy"
|
|---|
| 10182 | f (Text
|
|---|
| 10183 | uid 397,0
|
|---|
| 10184 | va (VaSet
|
|---|
| 10185 | isHidden 1
|
|---|
| 10186 | )
|
|---|
| 10187 | xt "150000,60000,153300,61000"
|
|---|
| 10188 | st "wiz_data"
|
|---|
| 10189 | blo "150000,60800"
|
|---|
| 10190 | tm "WireNameMgr"
|
|---|
| 10191 | )
|
|---|
| 10192 | )
|
|---|
| 10193 | on &9
|
|---|
| 10194 | )
|
|---|
| 10195 | *329 (Wire
|
|---|
| 10196 | uid 400,0
|
|---|
| 10197 | shape (OrthoPolyLine
|
|---|
| 10198 | uid 401,0
|
|---|
| 10199 | va (VaSet
|
|---|
| 10200 | vasetType 3
|
|---|
| 10201 | )
|
|---|
| 10202 | xt "148750,53000,153000,53000"
|
|---|
| 10203 | pts [
|
|---|
| 10204 | "148750,53000"
|
|---|
| 10205 | "153000,53000"
|
|---|
| 10206 | ]
|
|---|
| 10207 | )
|
|---|
| 10208 | start &72
|
|---|
| 10209 | end &17
|
|---|
| 10210 | sat 32
|
|---|
| 10211 | eat 32
|
|---|
| 10212 | stc 0
|
|---|
| 10213 | st 0
|
|---|
| 10214 | sf 1
|
|---|
| 10215 | si 0
|
|---|
| 10216 | tg (WTG
|
|---|
| 10217 | uid 404,0
|
|---|
| 10218 | ps "ConnStartEndStrategy"
|
|---|
| 10219 | stg "STSignalDisplayStrategy"
|
|---|
| 10220 | f (Text
|
|---|
| 10221 | uid 405,0
|
|---|
| 10222 | va (VaSet
|
|---|
| 10223 | isHidden 1
|
|---|
| 10224 | )
|
|---|
| 10225 | xt "150000,52000,152700,53000"
|
|---|
| 10226 | st "wiz_cs"
|
|---|
| 10227 | blo "150000,52800"
|
|---|
| 10228 | tm "WireNameMgr"
|
|---|
| 10229 | )
|
|---|
| 10230 | )
|
|---|
| 10231 | on &10
|
|---|
| 10232 | )
|
|---|
| 10233 | *330 (Wire
|
|---|
| 10234 | uid 408,0
|
|---|
| 10235 | shape (OrthoPolyLine
|
|---|
| 10236 | uid 409,0
|
|---|
| 10237 | va (VaSet
|
|---|
| 10238 | vasetType 3
|
|---|
| 10239 | )
|
|---|
| 10240 | xt "148750,54000,153000,54000"
|
|---|
| 10241 | pts [
|
|---|
| 10242 | "148750,54000"
|
|---|
| 10243 | "153000,54000"
|
|---|
| 10244 | ]
|
|---|
| 10245 | )
|
|---|
| 10246 | start &73
|
|---|
| 10247 | end &18
|
|---|
| 10248 | sat 32
|
|---|
| 10249 | eat 32
|
|---|
| 10250 | stc 0
|
|---|
| 10251 | st 0
|
|---|
| 10252 | sf 1
|
|---|
| 10253 | si 0
|
|---|
| 10254 | tg (WTG
|
|---|
| 10255 | uid 412,0
|
|---|
| 10256 | ps "ConnStartEndStrategy"
|
|---|
| 10257 | stg "STSignalDisplayStrategy"
|
|---|
| 10258 | f (Text
|
|---|
| 10259 | uid 413,0
|
|---|
| 10260 | va (VaSet
|
|---|
| 10261 | isHidden 1
|
|---|
| 10262 | )
|
|---|
| 10263 | xt "150000,53000,152700,54000"
|
|---|
| 10264 | st "wiz_wr"
|
|---|
| 10265 | blo "150000,53800"
|
|---|
| 10266 | tm "WireNameMgr"
|
|---|
| 10267 | )
|
|---|
| 10268 | )
|
|---|
| 10269 | on &11
|
|---|
| 10270 | )
|
|---|
| 10271 | *331 (Wire
|
|---|
| 10272 | uid 424,0
|
|---|
| 10273 | shape (OrthoPolyLine
|
|---|
| 10274 | uid 425,0
|
|---|
| 10275 | va (VaSet
|
|---|
| 10276 | vasetType 3
|
|---|
| 10277 | )
|
|---|
| 10278 | xt "148750,55000,153000,55000"
|
|---|
| 10279 | pts [
|
|---|
| 10280 | "148750,55000"
|
|---|
| 10281 | "153000,55000"
|
|---|
| 10282 | ]
|
|---|
| 10283 | )
|
|---|
| 10284 | start &74
|
|---|
| 10285 | end &20
|
|---|
| 10286 | sat 32
|
|---|
| 10287 | eat 32
|
|---|
| 10288 | stc 0
|
|---|
| 10289 | st 0
|
|---|
| 10290 | sf 1
|
|---|
| 10291 | si 0
|
|---|
| 10292 | tg (WTG
|
|---|
| 10293 | uid 428,0
|
|---|
| 10294 | ps "ConnStartEndStrategy"
|
|---|
| 10295 | stg "STSignalDisplayStrategy"
|
|---|
| 10296 | f (Text
|
|---|
| 10297 | uid 429,0
|
|---|
| 10298 | va (VaSet
|
|---|
| 10299 | isHidden 1
|
|---|
| 10300 | )
|
|---|
| 10301 | xt "150000,54000,152600,55000"
|
|---|
| 10302 | st "wiz_rd"
|
|---|
| 10303 | blo "150000,54800"
|
|---|
| 10304 | tm "WireNameMgr"
|
|---|
| 10305 | )
|
|---|
| 10306 | )
|
|---|
| 10307 | on &12
|
|---|
| 10308 | )
|
|---|
| 10309 | *332 (Wire
|
|---|
| 10310 | uid 432,0
|
|---|
| 10311 | shape (OrthoPolyLine
|
|---|
| 10312 | uid 433,0
|
|---|
| 10313 | va (VaSet
|
|---|
| 10314 | vasetType 3
|
|---|
| 10315 | )
|
|---|
| 10316 | xt "148750,56000,153000,56000"
|
|---|
| 10317 | pts [
|
|---|
| 10318 | "153000,56000"
|
|---|
| 10319 | "148750,56000"
|
|---|
| 10320 | ]
|
|---|
| 10321 | )
|
|---|
| 10322 | start &21
|
|---|
| 10323 | end &75
|
|---|
| 10324 | sat 32
|
|---|
| 10325 | eat 32
|
|---|
| 10326 | stc 0
|
|---|
| 10327 | st 0
|
|---|
| 10328 | sf 1
|
|---|
| 10329 | si 0
|
|---|
| 10330 | tg (WTG
|
|---|
| 10331 | uid 436,0
|
|---|
| 10332 | ps "ConnStartEndStrategy"
|
|---|
| 10333 | stg "STSignalDisplayStrategy"
|
|---|
| 10334 | f (Text
|
|---|
| 10335 | uid 437,0
|
|---|
| 10336 | va (VaSet
|
|---|
| 10337 | isHidden 1
|
|---|
| 10338 | )
|
|---|
| 10339 | xt "150000,55000,152700,56000"
|
|---|
| 10340 | st "wiz_int"
|
|---|
| 10341 | blo "150000,55800"
|
|---|
| 10342 | tm "WireNameMgr"
|
|---|
| 10343 | )
|
|---|
| 10344 | )
|
|---|
| 10345 | on &13
|
|---|
| 10346 | )
|
|---|
| 10347 | *333 (Wire
|
|---|
| 10348 | uid 1411,0
|
|---|
| 10349 | shape (OrthoPolyLine
|
|---|
| 10350 | uid 1412,0
|
|---|
| 10351 | va (VaSet
|
|---|
| 10352 | vasetType 3
|
|---|
| 10353 | lineWidth 2
|
|---|
| 10354 | )
|
|---|
| 10355 | xt "-26000,86000,18250,86000"
|
|---|
| 10356 | pts [
|
|---|
| 10357 | "-26000,86000"
|
|---|
| 10358 | "18250,86000"
|
|---|
| 10359 | ]
|
|---|
| 10360 | )
|
|---|
| 10361 | start &149
|
|---|
| 10362 | end &28
|
|---|
| 10363 | sat 32
|
|---|
| 10364 | eat 32
|
|---|
| 10365 | sty 1
|
|---|
| 10366 | stc 0
|
|---|
| 10367 | st 0
|
|---|
| 10368 | sf 1
|
|---|
| 10369 | si 0
|
|---|
| 10370 | tg (WTG
|
|---|
| 10371 | uid 1415,0
|
|---|
| 10372 | ps "ConnStartEndStrategy"
|
|---|
| 10373 | stg "STSignalDisplayStrategy"
|
|---|
| 10374 | f (Text
|
|---|
| 10375 | uid 1416,0
|
|---|
| 10376 | va (VaSet
|
|---|
| 10377 | )
|
|---|
| 10378 | xt "-24000,85000,-20700,86000"
|
|---|
| 10379 | st "board_id"
|
|---|
| 10380 | blo "-24000,85800"
|
|---|
| 10381 | tm "WireNameMgr"
|
|---|
| 10382 | )
|
|---|
| 10383 | )
|
|---|
| 10384 | on &64
|
|---|
| 10385 | )
|
|---|
| 10386 | *334 (Wire
|
|---|
| 10387 | uid 1425,0
|
|---|
| 10388 | optionalChildren [
|
|---|
| 10389 | *335 (BdJunction
|
|---|
| 10390 | uid 4391,0
|
|---|
| 10391 | ps "OnConnectorStrategy"
|
|---|
| 10392 | shape (Circle
|
|---|
| 10393 | uid 4392,0
|
|---|
| 10394 | va (VaSet
|
|---|
| 10395 | vasetType 1
|
|---|
| 10396 | )
|
|---|
| 10397 | xt "-22400,68600,-21600,69400"
|
|---|
| 10398 | radius 400
|
|---|
| 10399 | )
|
|---|
| 10400 | )
|
|---|
| 10401 | ]
|
|---|
| 10402 | shape (OrthoPolyLine
|
|---|
| 10403 | uid 1426,0
|
|---|
| 10404 | va (VaSet
|
|---|
| 10405 | vasetType 3
|
|---|
| 10406 | )
|
|---|
| 10407 | xt "-26000,69000,18250,69000"
|
|---|
| 10408 | pts [
|
|---|
| 10409 | "-26000,69000"
|
|---|
| 10410 | "18250,69000"
|
|---|
| 10411 | ]
|
|---|
| 10412 | )
|
|---|
| 10413 | start &66
|
|---|
| 10414 | end &30
|
|---|
| 10415 | es 0
|
|---|
| 10416 | sat 32
|
|---|
| 10417 | eat 32
|
|---|
| 10418 | stc 0
|
|---|
| 10419 | st 0
|
|---|
| 10420 | sf 1
|
|---|
| 10421 | si 0
|
|---|
| 10422 | tg (WTG
|
|---|
| 10423 | uid 1429,0
|
|---|
| 10424 | ps "ConnStartEndStrategy"
|
|---|
| 10425 | stg "STSignalDisplayStrategy"
|
|---|
| 10426 | f (Text
|
|---|
| 10427 | uid 1430,0
|
|---|
| 10428 | va (VaSet
|
|---|
| 10429 | isHidden 1
|
|---|
| 10430 | )
|
|---|
| 10431 | xt "5000,63000,7800,64000"
|
|---|
| 10432 | st "trigger"
|
|---|
| 10433 | blo "5000,63800"
|
|---|
| 10434 | tm "WireNameMgr"
|
|---|
| 10435 | )
|
|---|
| 10436 | )
|
|---|
| 10437 | on &65
|
|---|
| 10438 | )
|
|---|
| 10439 | *336 (Wire
|
|---|
| 10440 | uid 1682,0
|
|---|
| 10441 | shape (OrthoPolyLine
|
|---|
| 10442 | uid 1683,0
|
|---|
| 10443 | va (VaSet
|
|---|
| 10444 | vasetType 3
|
|---|
| 10445 | lineWidth 2
|
|---|
| 10446 | )
|
|---|
| 10447 | xt "-26000,87000,18250,87000"
|
|---|
| 10448 | pts [
|
|---|
| 10449 | "-26000,87000"
|
|---|
| 10450 | "18250,87000"
|
|---|
| 10451 | ]
|
|---|
| 10452 | )
|
|---|
| 10453 | start &150
|
|---|
| 10454 | end &31
|
|---|
| 10455 | sat 32
|
|---|
| 10456 | eat 32
|
|---|
| 10457 | sty 1
|
|---|
| 10458 | stc 0
|
|---|
| 10459 | st 0
|
|---|
| 10460 | sf 1
|
|---|
| 10461 | si 0
|
|---|
| 10462 | tg (WTG
|
|---|
| 10463 | uid 1686,0
|
|---|
| 10464 | ps "ConnStartEndStrategy"
|
|---|
| 10465 | stg "STSignalDisplayStrategy"
|
|---|
| 10466 | f (Text
|
|---|
| 10467 | uid 1687,0
|
|---|
| 10468 | va (VaSet
|
|---|
| 10469 | )
|
|---|
| 10470 | xt "-24000,86000,-20900,87000"
|
|---|
| 10471 | st "crate_id"
|
|---|
| 10472 | blo "-24000,86800"
|
|---|
| 10473 | tm "WireNameMgr"
|
|---|
| 10474 | )
|
|---|
| 10475 | )
|
|---|
| 10476 | on &100
|
|---|
| 10477 | )
|
|---|
| 10478 | *337 (Wire
|
|---|
| 10479 | uid 1983,0
|
|---|
| 10480 | shape (OrthoPolyLine
|
|---|
| 10481 | uid 1984,0
|
|---|
| 10482 | va (VaSet
|
|---|
| 10483 | vasetType 3
|
|---|
| 10484 | lineWidth 2
|
|---|
| 10485 | )
|
|---|
| 10486 | xt "-6250,64000,18250,68000"
|
|---|
| 10487 | pts [
|
|---|
| 10488 | "-6250,64000"
|
|---|
| 10489 | "6000,64000"
|
|---|
| 10490 | "6000,68000"
|
|---|
| 10491 | "18250,68000"
|
|---|
| 10492 | ]
|
|---|
| 10493 | )
|
|---|
| 10494 | start &102
|
|---|
| 10495 | end &29
|
|---|
| 10496 | sat 32
|
|---|
| 10497 | eat 32
|
|---|
| 10498 | sty 1
|
|---|
| 10499 | st 0
|
|---|
| 10500 | sf 1
|
|---|
| 10501 | tg (WTG
|
|---|
| 10502 | uid 1985,0
|
|---|
| 10503 | ps "ConnStartEndStrategy"
|
|---|
| 10504 | stg "STSignalDisplayStrategy"
|
|---|
| 10505 | f (Text
|
|---|
| 10506 | uid 1986,0
|
|---|
| 10507 | va (VaSet
|
|---|
| 10508 | )
|
|---|
| 10509 | xt "11000,67000,17800,68000"
|
|---|
| 10510 | st "trigger_id : (47:0)"
|
|---|
| 10511 | blo "11000,67800"
|
|---|
| 10512 | tm "WireNameMgr"
|
|---|
| 10513 | )
|
|---|
| 10514 | )
|
|---|
| 10515 | on &108
|
|---|
| 10516 | )
|
|---|
| 10517 | *338 (Wire
|
|---|
| 10518 | uid 2299,0
|
|---|
| 10519 | shape (OrthoPolyLine
|
|---|
| 10520 | uid 2300,0
|
|---|
| 10521 | va (VaSet
|
|---|
| 10522 | vasetType 3
|
|---|
| 10523 | lineWidth 2
|
|---|
| 10524 | )
|
|---|
| 10525 | xt "40750,63000,71250,70000"
|
|---|
| 10526 | pts [
|
|---|
| 10527 | "71250,70000"
|
|---|
| 10528 | "67000,70000"
|
|---|
| 10529 | "67000,63000"
|
|---|
| 10530 | "40750,63000"
|
|---|
| 10531 | ]
|
|---|
| 10532 | )
|
|---|
| 10533 | start &111
|
|---|
| 10534 | end &27
|
|---|
| 10535 | sat 32
|
|---|
| 10536 | eat 32
|
|---|
| 10537 | sty 1
|
|---|
| 10538 | st 0
|
|---|
| 10539 | sf 1
|
|---|
| 10540 | si 0
|
|---|
| 10541 | tg (WTG
|
|---|
| 10542 | uid 2303,0
|
|---|
| 10543 | ps "ConnStartEndStrategy"
|
|---|
| 10544 | stg "STSignalDisplayStrategy"
|
|---|
| 10545 | f (Text
|
|---|
| 10546 | uid 2304,0
|
|---|
| 10547 | va (VaSet
|
|---|
| 10548 | )
|
|---|
| 10549 | xt "42000,62000,58700,63000"
|
|---|
| 10550 | st "ram_start_addr : (RAMADDRWIDTH64b-1:0)"
|
|---|
| 10551 | blo "42000,62800"
|
|---|
| 10552 | tm "WireNameMgr"
|
|---|
| 10553 | )
|
|---|
| 10554 | )
|
|---|
| 10555 | on &109
|
|---|
| 10556 | )
|
|---|
| 10557 | *339 (Wire
|
|---|
| 10558 | uid 2470,0
|
|---|
| 10559 | shape (OrthoPolyLine
|
|---|
| 10560 | uid 2471,0
|
|---|
| 10561 | va (VaSet
|
|---|
| 10562 | vasetType 3
|
|---|
| 10563 | )
|
|---|
| 10564 | xt "103750,68000,126250,68000"
|
|---|
| 10565 | pts [
|
|---|
| 10566 | "103750,68000"
|
|---|
| 10567 | "115000,68000"
|
|---|
| 10568 | "126250,68000"
|
|---|
| 10569 | ]
|
|---|
| 10570 | )
|
|---|
| 10571 | start &118
|
|---|
| 10572 | end &81
|
|---|
| 10573 | sat 32
|
|---|
| 10574 | eat 32
|
|---|
| 10575 | st 0
|
|---|
| 10576 | sf 1
|
|---|
| 10577 | si 0
|
|---|
| 10578 | tg (WTG
|
|---|
| 10579 | uid 2472,0
|
|---|
| 10580 | ps "ConnStartEndStrategy"
|
|---|
| 10581 | stg "STSignalDisplayStrategy"
|
|---|
| 10582 | f (Text
|
|---|
| 10583 | uid 2473,0
|
|---|
| 10584 | va (VaSet
|
|---|
| 10585 | )
|
|---|
| 10586 | xt "104000,67000,107400,68000"
|
|---|
| 10587 | st "wiz_busy"
|
|---|
| 10588 | blo "104000,67800"
|
|---|
| 10589 | tm "WireNameMgr"
|
|---|
| 10590 | )
|
|---|
| 10591 | )
|
|---|
| 10592 | on &132
|
|---|
| 10593 | )
|
|---|
| 10594 | *340 (Wire
|
|---|
| 10595 | uid 2476,0
|
|---|
| 10596 | shape (OrthoPolyLine
|
|---|
| 10597 | uid 2477,0
|
|---|
| 10598 | va (VaSet
|
|---|
| 10599 | vasetType 3
|
|---|
| 10600 | )
|
|---|
| 10601 | xt "103750,69000,126250,69000"
|
|---|
| 10602 | pts [
|
|---|
| 10603 | "103750,69000"
|
|---|
| 10604 | "115000,69000"
|
|---|
| 10605 | "126250,69000"
|
|---|
| 10606 | ]
|
|---|
| 10607 | )
|
|---|
| 10608 | start &121
|
|---|
| 10609 | end &80
|
|---|
| 10610 | sat 32
|
|---|
| 10611 | eat 32
|
|---|
| 10612 | st 0
|
|---|
| 10613 | sf 1
|
|---|
| 10614 | si 0
|
|---|
| 10615 | tg (WTG
|
|---|
| 10616 | uid 2478,0
|
|---|
| 10617 | ps "ConnStartEndStrategy"
|
|---|
| 10618 | stg "STSignalDisplayStrategy"
|
|---|
| 10619 | f (Text
|
|---|
| 10620 | uid 2479,0
|
|---|
| 10621 | va (VaSet
|
|---|
| 10622 | )
|
|---|
| 10623 | xt "104000,68000,109100,69000"
|
|---|
| 10624 | st "wiz_write_ea"
|
|---|
| 10625 | blo "104000,68800"
|
|---|
| 10626 | tm "WireNameMgr"
|
|---|
| 10627 | )
|
|---|
| 10628 | )
|
|---|
| 10629 | on &133
|
|---|
| 10630 | )
|
|---|
| 10631 | *341 (Wire
|
|---|
| 10632 | uid 2482,0
|
|---|
| 10633 | shape (OrthoPolyLine
|
|---|
| 10634 | uid 2483,0
|
|---|
| 10635 | va (VaSet
|
|---|
| 10636 | vasetType 3
|
|---|
| 10637 | lineWidth 2
|
|---|
| 10638 | )
|
|---|
| 10639 | xt "103750,70000,126250,70000"
|
|---|
| 10640 | pts [
|
|---|
| 10641 | "103750,70000"
|
|---|
| 10642 | "115000,70000"
|
|---|
| 10643 | "126250,70000"
|
|---|
| 10644 | ]
|
|---|
| 10645 | )
|
|---|
| 10646 | start &124
|
|---|
| 10647 | end &76
|
|---|
| 10648 | sat 32
|
|---|
| 10649 | eat 32
|
|---|
| 10650 | sty 1
|
|---|
| 10651 | st 0
|
|---|
| 10652 | sf 1
|
|---|
| 10653 | si 0
|
|---|
| 10654 | tg (WTG
|
|---|
| 10655 | uid 2484,0
|
|---|
| 10656 | ps "ConnStartEndStrategy"
|
|---|
| 10657 | stg "STSignalDisplayStrategy"
|
|---|
| 10658 | f (Text
|
|---|
| 10659 | uid 2485,0
|
|---|
| 10660 | va (VaSet
|
|---|
| 10661 | )
|
|---|
| 10662 | xt "104000,69000,113400,70000"
|
|---|
| 10663 | st "wiz_write_length : (16:0)"
|
|---|
| 10664 | blo "104000,69800"
|
|---|
| 10665 | tm "WireNameMgr"
|
|---|
| 10666 | )
|
|---|
| 10667 | )
|
|---|
| 10668 | on &134
|
|---|
| 10669 | )
|
|---|
| 10670 | *342 (Wire
|
|---|
| 10671 | uid 2488,0
|
|---|
| 10672 | shape (OrthoPolyLine
|
|---|
| 10673 | uid 2489,0
|
|---|
| 10674 | va (VaSet
|
|---|
| 10675 | vasetType 3
|
|---|
| 10676 | lineWidth 2
|
|---|
| 10677 | )
|
|---|
| 10678 | xt "103750,71000,126250,71000"
|
|---|
| 10679 | pts [
|
|---|
| 10680 | "103750,71000"
|
|---|
| 10681 | "115000,71000"
|
|---|
| 10682 | "126250,71000"
|
|---|
| 10683 | ]
|
|---|
| 10684 | )
|
|---|
| 10685 | start &120
|
|---|
| 10686 | end &77
|
|---|
| 10687 | sat 32
|
|---|
| 10688 | eat 32
|
|---|
| 10689 | sty 1
|
|---|
| 10690 | st 0
|
|---|
| 10691 | sf 1
|
|---|
| 10692 | si 0
|
|---|
| 10693 | tg (WTG
|
|---|
| 10694 | uid 2490,0
|
|---|
| 10695 | ps "ConnStartEndStrategy"
|
|---|
| 10696 | stg "STSignalDisplayStrategy"
|
|---|
| 10697 | f (Text
|
|---|
| 10698 | uid 2491,0
|
|---|
| 10699 | va (VaSet
|
|---|
| 10700 | )
|
|---|
| 10701 | xt "104000,70000,122800,71000"
|
|---|
| 10702 | st "wiz_ram_start_addr : (RAMADDRWIDTH64b+1:0)"
|
|---|
| 10703 | blo "104000,70800"
|
|---|
| 10704 | tm "WireNameMgr"
|
|---|
| 10705 | )
|
|---|
| 10706 | )
|
|---|
| 10707 | on &135
|
|---|
| 10708 | )
|
|---|
| 10709 | *343 (Wire
|
|---|
| 10710 | uid 2494,0
|
|---|
| 10711 | shape (OrthoPolyLine
|
|---|
| 10712 | uid 2495,0
|
|---|
| 10713 | va (VaSet
|
|---|
| 10714 | vasetType 3
|
|---|
| 10715 | lineWidth 2
|
|---|
| 10716 | )
|
|---|
| 10717 | xt "103750,72000,126250,72000"
|
|---|
| 10718 | pts [
|
|---|
| 10719 | "103750,72000"
|
|---|
| 10720 | "115000,72000"
|
|---|
| 10721 | "126250,72000"
|
|---|
| 10722 | ]
|
|---|
| 10723 | )
|
|---|
| 10724 | start &119
|
|---|
| 10725 | end &82
|
|---|
| 10726 | sat 32
|
|---|
| 10727 | eat 32
|
|---|
| 10728 | sty 1
|
|---|
| 10729 | st 0
|
|---|
| 10730 | sf 1
|
|---|
| 10731 | si 0
|
|---|
| 10732 | tg (WTG
|
|---|
| 10733 | uid 2496,0
|
|---|
| 10734 | ps "ConnStartEndStrategy"
|
|---|
| 10735 | stg "STSignalDisplayStrategy"
|
|---|
| 10736 | f (Text
|
|---|
| 10737 | uid 2497,0
|
|---|
| 10738 | va (VaSet
|
|---|
| 10739 | )
|
|---|
| 10740 | xt "104000,71000,115800,72000"
|
|---|
| 10741 | st "wiz_number_of_channels : (3:0)"
|
|---|
| 10742 | blo "104000,71800"
|
|---|
| 10743 | tm "WireNameMgr"
|
|---|
| 10744 | )
|
|---|
| 10745 | )
|
|---|
| 10746 | on &136
|
|---|
| 10747 | )
|
|---|
| 10748 | *344 (Wire
|
|---|
| 10749 | uid 2500,0
|
|---|
| 10750 | shape (OrthoPolyLine
|
|---|
| 10751 | uid 2501,0
|
|---|
| 10752 | va (VaSet
|
|---|
| 10753 | vasetType 3
|
|---|
| 10754 | )
|
|---|
| 10755 | xt "103750,73000,126250,73000"
|
|---|
| 10756 | pts [
|
|---|
| 10757 | "103750,73000"
|
|---|
| 10758 | "115000,73000"
|
|---|
| 10759 | "126250,73000"
|
|---|
| 10760 | ]
|
|---|
| 10761 | )
|
|---|
| 10762 | start &122
|
|---|
| 10763 | end &83
|
|---|
| 10764 | sat 32
|
|---|
| 10765 | eat 32
|
|---|
| 10766 | st 0
|
|---|
| 10767 | sf 1
|
|---|
| 10768 | si 0
|
|---|
| 10769 | tg (WTG
|
|---|
| 10770 | uid 2502,0
|
|---|
| 10771 | ps "ConnStartEndStrategy"
|
|---|
| 10772 | stg "STSignalDisplayStrategy"
|
|---|
| 10773 | f (Text
|
|---|
| 10774 | uid 2503,0
|
|---|
| 10775 | va (VaSet
|
|---|
| 10776 | )
|
|---|
| 10777 | xt "104000,72000,109500,73000"
|
|---|
| 10778 | st "wiz_write_end"
|
|---|
| 10779 | blo "104000,72800"
|
|---|
| 10780 | tm "WireNameMgr"
|
|---|
| 10781 | )
|
|---|
| 10782 | )
|
|---|
| 10783 | on &137
|
|---|
| 10784 | )
|
|---|
| 10785 | *345 (Wire
|
|---|
| 10786 | uid 2506,0
|
|---|
| 10787 | shape (OrthoPolyLine
|
|---|
| 10788 | uid 2507,0
|
|---|
| 10789 | va (VaSet
|
|---|
| 10790 | vasetType 3
|
|---|
| 10791 | )
|
|---|
| 10792 | xt "103750,74000,126250,74000"
|
|---|
| 10793 | pts [
|
|---|
| 10794 | "103750,74000"
|
|---|
| 10795 | "115000,74000"
|
|---|
| 10796 | "126250,74000"
|
|---|
| 10797 | ]
|
|---|
| 10798 | )
|
|---|
| 10799 | start &123
|
|---|
| 10800 | end &84
|
|---|
| 10801 | sat 32
|
|---|
| 10802 | eat 32
|
|---|
| 10803 | st 0
|
|---|
| 10804 | sf 1
|
|---|
| 10805 | si 0
|
|---|
| 10806 | tg (WTG
|
|---|
| 10807 | uid 2508,0
|
|---|
| 10808 | ps "ConnStartEndStrategy"
|
|---|
| 10809 | stg "STSignalDisplayStrategy"
|
|---|
| 10810 | f (Text
|
|---|
| 10811 | uid 2509,0
|
|---|
| 10812 | va (VaSet
|
|---|
| 10813 | )
|
|---|
| 10814 | xt "104000,73000,110600,74000"
|
|---|
| 10815 | st "wiz_write_header"
|
|---|
| 10816 | blo "104000,73800"
|
|---|
| 10817 | tm "WireNameMgr"
|
|---|
| 10818 | )
|
|---|
| 10819 | )
|
|---|
| 10820 | on &138
|
|---|
| 10821 | )
|
|---|
| 10822 | *346 (Wire
|
|---|
| 10823 | uid 2576,0
|
|---|
| 10824 | shape (OrthoPolyLine
|
|---|
| 10825 | uid 2577,0
|
|---|
| 10826 | va (VaSet
|
|---|
| 10827 | vasetType 3
|
|---|
| 10828 | )
|
|---|
| 10829 | xt "40750,64000,71250,71000"
|
|---|
| 10830 | pts [
|
|---|
| 10831 | "40750,64000"
|
|---|
| 10832 | "66000,64000"
|
|---|
| 10833 | "66000,71000"
|
|---|
| 10834 | "71250,71000"
|
|---|
| 10835 | ]
|
|---|
| 10836 | )
|
|---|
| 10837 | start &32
|
|---|
| 10838 | end &115
|
|---|
| 10839 | sat 32
|
|---|
| 10840 | eat 32
|
|---|
| 10841 | st 0
|
|---|
| 10842 | sf 1
|
|---|
| 10843 | si 0
|
|---|
| 10844 | tg (WTG
|
|---|
| 10845 | uid 2578,0
|
|---|
| 10846 | ps "ConnStartEndStrategy"
|
|---|
| 10847 | stg "STSignalDisplayStrategy"
|
|---|
| 10848 | f (Text
|
|---|
| 10849 | uid 2579,0
|
|---|
| 10850 | va (VaSet
|
|---|
| 10851 | )
|
|---|
| 10852 | xt "42000,63000,47300,64000"
|
|---|
| 10853 | st "ram_write_ea"
|
|---|
| 10854 | blo "42000,63800"
|
|---|
| 10855 | tm "WireNameMgr"
|
|---|
| 10856 | )
|
|---|
| 10857 | )
|
|---|
| 10858 | on &139
|
|---|
| 10859 | )
|
|---|
| 10860 | *347 (Wire
|
|---|
| 10861 | uid 2582,0
|
|---|
| 10862 | shape (OrthoPolyLine
|
|---|
| 10863 | uid 2583,0
|
|---|
| 10864 | va (VaSet
|
|---|
| 10865 | vasetType 3
|
|---|
| 10866 | )
|
|---|
| 10867 | xt "40750,65000,71250,72000"
|
|---|
| 10868 | pts [
|
|---|
| 10869 | "40750,65000"
|
|---|
| 10870 | "65000,65000"
|
|---|
| 10871 | "65000,72000"
|
|---|
| 10872 | "71250,72000"
|
|---|
| 10873 | ]
|
|---|
| 10874 | )
|
|---|
| 10875 | start &33
|
|---|
| 10876 | end &116
|
|---|
| 10877 | sat 32
|
|---|
| 10878 | eat 32
|
|---|
| 10879 | st 0
|
|---|
| 10880 | sf 1
|
|---|
| 10881 | si 0
|
|---|
| 10882 | tg (WTG
|
|---|
| 10883 | uid 2584,0
|
|---|
| 10884 | ps "ConnStartEndStrategy"
|
|---|
| 10885 | stg "STSignalDisplayStrategy"
|
|---|
| 10886 | f (Text
|
|---|
| 10887 | uid 2585,0
|
|---|
| 10888 | va (VaSet
|
|---|
| 10889 | )
|
|---|
| 10890 | xt "42000,64000,48300,65000"
|
|---|
| 10891 | st "ram_write_ready"
|
|---|
| 10892 | blo "42000,64800"
|
|---|
| 10893 | tm "WireNameMgr"
|
|---|
| 10894 | )
|
|---|
| 10895 | )
|
|---|
| 10896 | on &140
|
|---|
| 10897 | )
|
|---|
| 10898 | *348 (Wire
|
|---|
| 10899 | uid 2588,0
|
|---|
| 10900 | shape (OrthoPolyLine
|
|---|
| 10901 | uid 2589,0
|
|---|
| 10902 | va (VaSet
|
|---|
| 10903 | vasetType 3
|
|---|
| 10904 | )
|
|---|
| 10905 | xt "40750,70000,71250,75000"
|
|---|
| 10906 | pts [
|
|---|
| 10907 | "40750,70000"
|
|---|
| 10908 | "64000,70000"
|
|---|
| 10909 | "64000,75000"
|
|---|
| 10910 | "71250,75000"
|
|---|
| 10911 | ]
|
|---|
| 10912 | )
|
|---|
| 10913 | start &53
|
|---|
| 10914 | end &114
|
|---|
| 10915 | ss 0
|
|---|
| 10916 | sat 32
|
|---|
| 10917 | eat 32
|
|---|
| 10918 | st 0
|
|---|
| 10919 | sf 1
|
|---|
| 10920 | si 0
|
|---|
| 10921 | tg (WTG
|
|---|
| 10922 | uid 2590,0
|
|---|
| 10923 | ps "ConnStartEndStrategy"
|
|---|
| 10924 | stg "STSignalDisplayStrategy"
|
|---|
| 10925 | f (Text
|
|---|
| 10926 | uid 2591,0
|
|---|
| 10927 | va (VaSet
|
|---|
| 10928 | )
|
|---|
| 10929 | xt "41000,69000,45800,70000"
|
|---|
| 10930 | st "config_start"
|
|---|
| 10931 | blo "41000,69800"
|
|---|
| 10932 | tm "WireNameMgr"
|
|---|
| 10933 | )
|
|---|
| 10934 | )
|
|---|
| 10935 | on &141
|
|---|
| 10936 | )
|
|---|
| 10937 | *349 (Wire
|
|---|
| 10938 | uid 2594,0
|
|---|
| 10939 | shape (OrthoPolyLine
|
|---|
| 10940 | uid 2595,0
|
|---|
| 10941 | va (VaSet
|
|---|
| 10942 | vasetType 3
|
|---|
| 10943 | )
|
|---|
| 10944 | xt "40750,72000,71250,77000"
|
|---|
| 10945 | pts [
|
|---|
| 10946 | "40750,72000"
|
|---|
| 10947 | "62000,72000"
|
|---|
| 10948 | "62000,77000"
|
|---|
| 10949 | "71250,77000"
|
|---|
| 10950 | ]
|
|---|
| 10951 | )
|
|---|
| 10952 | start &49
|
|---|
| 10953 | end &113
|
|---|
| 10954 | sat 32
|
|---|
| 10955 | eat 32
|
|---|
| 10956 | st 0
|
|---|
| 10957 | sf 1
|
|---|
| 10958 | si 0
|
|---|
| 10959 | tg (WTG
|
|---|
| 10960 | uid 2596,0
|
|---|
| 10961 | ps "ConnStartEndStrategy"
|
|---|
| 10962 | stg "STSignalDisplayStrategy"
|
|---|
| 10963 | f (Text
|
|---|
| 10964 | uid 2597,0
|
|---|
| 10965 | va (VaSet
|
|---|
| 10966 | )
|
|---|
| 10967 | xt "41000,71000,46100,72000"
|
|---|
| 10968 | st "config_ready"
|
|---|
| 10969 | blo "41000,71800"
|
|---|
| 10970 | tm "WireNameMgr"
|
|---|
| 10971 | )
|
|---|
| 10972 | )
|
|---|
| 10973 | on &142
|
|---|
| 10974 | )
|
|---|
| 10975 | *350 (Wire
|
|---|
| 10976 | uid 2600,0
|
|---|
| 10977 | shape (OrthoPolyLine
|
|---|
| 10978 | uid 2601,0
|
|---|
| 10979 | va (VaSet
|
|---|
| 10980 | vasetType 3
|
|---|
| 10981 | )
|
|---|
| 10982 | xt "40750,74000,71250,78000"
|
|---|
| 10983 | pts [
|
|---|
| 10984 | "40750,74000"
|
|---|
| 10985 | "61000,74000"
|
|---|
| 10986 | "61000,78000"
|
|---|
| 10987 | "71250,78000"
|
|---|
| 10988 | ]
|
|---|
| 10989 | )
|
|---|
| 10990 | start &34
|
|---|
| 10991 | end &117
|
|---|
| 10992 | sat 32
|
|---|
| 10993 | eat 32
|
|---|
| 10994 | st 0
|
|---|
| 10995 | sf 1
|
|---|
| 10996 | si 0
|
|---|
| 10997 | tg (WTG
|
|---|
| 10998 | uid 2602,0
|
|---|
| 10999 | ps "ConnStartEndStrategy"
|
|---|
| 11000 | stg "STSignalDisplayStrategy"
|
|---|
| 11001 | f (Text
|
|---|
| 11002 | uid 2603,0
|
|---|
| 11003 | va (VaSet
|
|---|
| 11004 | )
|
|---|
| 11005 | xt "41000,73000,44000,74000"
|
|---|
| 11006 | st "roi_max"
|
|---|
| 11007 | blo "41000,73800"
|
|---|
| 11008 | tm "WireNameMgr"
|
|---|
| 11009 | )
|
|---|
| 11010 | )
|
|---|
| 11011 | on &143
|
|---|
| 11012 | )
|
|---|
| 11013 | *351 (Wire
|
|---|
| 11014 | uid 2642,0
|
|---|
| 11015 | shape (OrthoPolyLine
|
|---|
| 11016 | uid 2643,0
|
|---|
| 11017 | va (VaSet
|
|---|
| 11018 | vasetType 3
|
|---|
| 11019 | lineWidth 2
|
|---|
| 11020 | )
|
|---|
| 11021 | xt "40750,75000,71250,79000"
|
|---|
| 11022 | pts [
|
|---|
| 11023 | "40750,75000"
|
|---|
| 11024 | "60000,75000"
|
|---|
| 11025 | "60000,79000"
|
|---|
| 11026 | "71250,79000"
|
|---|
| 11027 | ]
|
|---|
| 11028 | )
|
|---|
| 11029 | start &36
|
|---|
| 11030 | end &126
|
|---|
| 11031 | sat 32
|
|---|
| 11032 | eat 32
|
|---|
| 11033 | sty 1
|
|---|
| 11034 | st 0
|
|---|
| 11035 | sf 1
|
|---|
| 11036 | si 0
|
|---|
| 11037 | tg (WTG
|
|---|
| 11038 | uid 2644,0
|
|---|
| 11039 | ps "ConnStartEndStrategy"
|
|---|
| 11040 | stg "STSignalDisplayStrategy"
|
|---|
| 11041 | f (Text
|
|---|
| 11042 | uid 2645,0
|
|---|
| 11043 | va (VaSet
|
|---|
| 11044 | )
|
|---|
| 11045 | xt "41000,74000,50100,75000"
|
|---|
| 11046 | st "package_length : (15:0)"
|
|---|
| 11047 | blo "41000,74800"
|
|---|
| 11048 | tm "WireNameMgr"
|
|---|
| 11049 | )
|
|---|
| 11050 | )
|
|---|
| 11051 | on &144
|
|---|
| 11052 | )
|
|---|
| 11053 | *352 (Wire
|
|---|
| 11054 | uid 2778,0
|
|---|
| 11055 | shape (OrthoPolyLine
|
|---|
| 11056 | uid 2779,0
|
|---|
| 11057 | va (VaSet
|
|---|
| 11058 | vasetType 3
|
|---|
| 11059 | )
|
|---|
| 11060 | xt "-26000,82000,18250,82000"
|
|---|
| 11061 | pts [
|
|---|
| 11062 | "18250,82000"
|
|---|
| 11063 | "-26000,82000"
|
|---|
| 11064 | ]
|
|---|
| 11065 | )
|
|---|
| 11066 | start &37
|
|---|
| 11067 | end &146
|
|---|
| 11068 | sat 32
|
|---|
| 11069 | eat 32
|
|---|
| 11070 | stc 0
|
|---|
| 11071 | st 0
|
|---|
| 11072 | sf 1
|
|---|
| 11073 | si 0
|
|---|
| 11074 | tg (WTG
|
|---|
| 11075 | uid 2782,0
|
|---|
| 11076 | ps "ConnStartEndStrategy"
|
|---|
| 11077 | stg "STSignalDisplayStrategy"
|
|---|
| 11078 | f (Text
|
|---|
| 11079 | uid 2783,0
|
|---|
| 11080 | va (VaSet
|
|---|
| 11081 | isHidden 1
|
|---|
| 11082 | )
|
|---|
| 11083 | xt "-20000,76000,-16800,77000"
|
|---|
| 11084 | st "adc_oeb"
|
|---|
| 11085 | blo "-20000,76800"
|
|---|
| 11086 | tm "WireNameMgr"
|
|---|
| 11087 | )
|
|---|
| 11088 | )
|
|---|
| 11089 | on &145
|
|---|
| 11090 | )
|
|---|
| 11091 | *353 (Wire
|
|---|
| 11092 | uid 2786,0
|
|---|
| 11093 | shape (OrthoPolyLine
|
|---|
| 11094 | uid 2787,0
|
|---|
| 11095 | va (VaSet
|
|---|
| 11096 | vasetType 3
|
|---|
| 11097 | lineWidth 2
|
|---|
| 11098 | )
|
|---|
| 11099 | xt "-26000,77000,-18750,77000"
|
|---|
| 11100 | pts [
|
|---|
| 11101 | "-26000,77000"
|
|---|
| 11102 | "-18750,77000"
|
|---|
| 11103 | ]
|
|---|
| 11104 | )
|
|---|
| 11105 | start &147
|
|---|
| 11106 | end &250
|
|---|
| 11107 | sat 32
|
|---|
| 11108 | eat 32
|
|---|
| 11109 | sty 1
|
|---|
| 11110 | stc 0
|
|---|
| 11111 | st 0
|
|---|
| 11112 | sf 1
|
|---|
| 11113 | si 0
|
|---|
| 11114 | tg (WTG
|
|---|
| 11115 | uid 2790,0
|
|---|
| 11116 | ps "ConnStartEndStrategy"
|
|---|
| 11117 | stg "STSignalDisplayStrategy"
|
|---|
| 11118 | f (Text
|
|---|
| 11119 | uid 2791,0
|
|---|
| 11120 | va (VaSet
|
|---|
| 11121 | isHidden 1
|
|---|
| 11122 | )
|
|---|
| 11123 | xt "-57000,70000,-51600,71000"
|
|---|
| 11124 | st "adc_otr_array"
|
|---|
| 11125 | blo "-57000,70800"
|
|---|
| 11126 | tm "WireNameMgr"
|
|---|
| 11127 | )
|
|---|
| 11128 | )
|
|---|
| 11129 | on &176
|
|---|
| 11130 | )
|
|---|
| 11131 | *354 (Wire
|
|---|
| 11132 | uid 2876,0
|
|---|
| 11133 | shape (OrthoPolyLine
|
|---|
| 11134 | uid 2877,0
|
|---|
| 11135 | va (VaSet
|
|---|
| 11136 | vasetType 3
|
|---|
| 11137 | )
|
|---|
| 11138 | xt "-22000,64000,-18750,69000"
|
|---|
| 11139 | pts [
|
|---|
| 11140 | "-22000,69000"
|
|---|
| 11141 | "-22000,64000"
|
|---|
| 11142 | "-18750,64000"
|
|---|
| 11143 | ]
|
|---|
| 11144 | )
|
|---|
| 11145 | start &335
|
|---|
| 11146 | end &103
|
|---|
| 11147 | es 0
|
|---|
| 11148 | sat 32
|
|---|
| 11149 | eat 32
|
|---|
| 11150 | st 0
|
|---|
| 11151 | sf 1
|
|---|
| 11152 | tg (WTG
|
|---|
| 11153 | uid 2880,0
|
|---|
| 11154 | ps "ConnStartEndStrategy"
|
|---|
| 11155 | stg "STSignalDisplayStrategy"
|
|---|
| 11156 | f (Text
|
|---|
| 11157 | uid 2881,0
|
|---|
| 11158 | ro 270
|
|---|
| 11159 | va (VaSet
|
|---|
| 11160 | )
|
|---|
| 11161 | xt "-23000,64200,-22000,67000"
|
|---|
| 11162 | st "trigger"
|
|---|
| 11163 | blo "-22200,67000"
|
|---|
| 11164 | tm "WireNameMgr"
|
|---|
| 11165 | )
|
|---|
| 11166 | )
|
|---|
| 11167 | on &65
|
|---|
| 11168 | )
|
|---|
| 11169 | *355 (Wire
|
|---|
| 11170 | uid 3888,0
|
|---|
| 11171 | optionalChildren [
|
|---|
| 11172 | *356 (BdJunction
|
|---|
| 11173 | uid 4230,0
|
|---|
| 11174 | ps "OnConnectorStrategy"
|
|---|
| 11175 | shape (Circle
|
|---|
| 11176 | uid 4231,0
|
|---|
| 11177 | va (VaSet
|
|---|
| 11178 | vasetType 1
|
|---|
| 11179 | )
|
|---|
| 11180 | xt "4600,18600,5400,19400"
|
|---|
| 11181 | radius 400
|
|---|
| 11182 | )
|
|---|
| 11183 | )
|
|---|
| 11184 | *357 (BdJunction
|
|---|
| 11185 | uid 4244,0
|
|---|
| 11186 | ps "OnConnectorStrategy"
|
|---|
| 11187 | shape (Circle
|
|---|
| 11188 | uid 4245,0
|
|---|
| 11189 | va (VaSet
|
|---|
| 11190 | vasetType 1
|
|---|
| 11191 | )
|
|---|
| 11192 | xt "108600,18600,109400,19400"
|
|---|
| 11193 | radius 400
|
|---|
| 11194 | )
|
|---|
| 11195 | )
|
|---|
| 11196 | ]
|
|---|
| 11197 | shape (OrthoPolyLine
|
|---|
| 11198 | uid 3889,0
|
|---|
| 11199 | va (VaSet
|
|---|
| 11200 | vasetType 3
|
|---|
| 11201 | lineColor "0,0,65535"
|
|---|
| 11202 | )
|
|---|
| 11203 | xt "-1250,19000,126250,52000"
|
|---|
| 11204 | pts [
|
|---|
| 11205 | "-1250,19000"
|
|---|
| 11206 | "123000,19000"
|
|---|
| 11207 | "123000,52000"
|
|---|
| 11208 | "126250,52000"
|
|---|
| 11209 | ]
|
|---|
| 11210 | )
|
|---|
| 11211 | start &166
|
|---|
| 11212 | end &68
|
|---|
| 11213 | sat 32
|
|---|
| 11214 | eat 32
|
|---|
| 11215 | stc 0
|
|---|
| 11216 | st 0
|
|---|
| 11217 | sf 1
|
|---|
| 11218 | si 0
|
|---|
| 11219 | tg (WTG
|
|---|
| 11220 | uid 3890,0
|
|---|
| 11221 | ps "ConnStartEndStrategy"
|
|---|
| 11222 | stg "STSignalDisplayStrategy"
|
|---|
| 11223 | f (Text
|
|---|
| 11224 | uid 3891,0
|
|---|
| 11225 | va (VaSet
|
|---|
| 11226 | )
|
|---|
| 11227 | xt "750,18000,3850,19000"
|
|---|
| 11228 | st "CLK_50"
|
|---|
| 11229 | blo "750,18800"
|
|---|
| 11230 | tm "WireNameMgr"
|
|---|
| 11231 | )
|
|---|
| 11232 | )
|
|---|
| 11233 | on &164
|
|---|
| 11234 | )
|
|---|
| 11235 | *358 (Wire
|
|---|
| 11236 | uid 3984,0
|
|---|
| 11237 | shape (OrthoPolyLine
|
|---|
| 11238 | uid 3985,0
|
|---|
| 11239 | va (VaSet
|
|---|
| 11240 | vasetType 3
|
|---|
| 11241 | lineColor "49152,0,0"
|
|---|
| 11242 | )
|
|---|
| 11243 | xt "-26000,23000,2000,31000"
|
|---|
| 11244 | pts [
|
|---|
| 11245 | "-26000,31000"
|
|---|
| 11246 | "2000,31000"
|
|---|
| 11247 | "2000,23000"
|
|---|
| 11248 | "-1250,23000"
|
|---|
| 11249 | ]
|
|---|
| 11250 | )
|
|---|
| 11251 | start &163
|
|---|
| 11252 | end &168
|
|---|
| 11253 | sat 32
|
|---|
| 11254 | eat 32
|
|---|
| 11255 | stc 0
|
|---|
| 11256 | st 0
|
|---|
| 11257 | sf 1
|
|---|
| 11258 | si 0
|
|---|
| 11259 | tg (WTG
|
|---|
| 11260 | uid 3986,0
|
|---|
| 11261 | ps "ConnStartEndStrategy"
|
|---|
| 11262 | stg "STSignalDisplayStrategy"
|
|---|
| 11263 | f (Text
|
|---|
| 11264 | uid 3987,0
|
|---|
| 11265 | va (VaSet
|
|---|
| 11266 | isHidden 1
|
|---|
| 11267 | )
|
|---|
| 11268 | xt "-27000,32000,-22500,33000"
|
|---|
| 11269 | st "CLK_25_PS"
|
|---|
| 11270 | blo "-27000,32800"
|
|---|
| 11271 | tm "WireNameMgr"
|
|---|
| 11272 | )
|
|---|
| 11273 | )
|
|---|
| 11274 | on &162
|
|---|
| 11275 | )
|
|---|
| 11276 | *359 (Wire
|
|---|
| 11277 | uid 4042,0
|
|---|
| 11278 | shape (OrthoPolyLine
|
|---|
| 11279 | uid 4043,0
|
|---|
| 11280 | va (VaSet
|
|---|
| 11281 | vasetType 3
|
|---|
| 11282 | )
|
|---|
| 11283 | xt "-26000,19000,-20750,19000"
|
|---|
| 11284 | pts [
|
|---|
| 11285 | "-26000,19000"
|
|---|
| 11286 | "-20750,19000"
|
|---|
| 11287 | ]
|
|---|
| 11288 | )
|
|---|
| 11289 | start &1
|
|---|
| 11290 | end &169
|
|---|
| 11291 | sat 32
|
|---|
| 11292 | eat 32
|
|---|
| 11293 | stc 0
|
|---|
| 11294 | st 0
|
|---|
| 11295 | sf 1
|
|---|
| 11296 | si 0
|
|---|
| 11297 | tg (WTG
|
|---|
| 11298 | uid 4044,0
|
|---|
| 11299 | ps "ConnStartEndStrategy"
|
|---|
| 11300 | stg "STSignalDisplayStrategy"
|
|---|
| 11301 | f (Text
|
|---|
| 11302 | uid 4045,0
|
|---|
| 11303 | va (VaSet
|
|---|
| 11304 | isHidden 1
|
|---|
| 11305 | )
|
|---|
| 11306 | xt "-25000,32000,-23100,33000"
|
|---|
| 11307 | st "CLK"
|
|---|
| 11308 | blo "-25000,32800"
|
|---|
| 11309 | tm "WireNameMgr"
|
|---|
| 11310 | )
|
|---|
| 11311 | )
|
|---|
| 11312 | on &175
|
|---|
| 11313 | )
|
|---|
| 11314 | *360 (Wire
|
|---|
| 11315 | uid 4226,0
|
|---|
| 11316 | shape (OrthoPolyLine
|
|---|
| 11317 | uid 4227,0
|
|---|
| 11318 | va (VaSet
|
|---|
| 11319 | vasetType 3
|
|---|
| 11320 | lineColor "0,0,65535"
|
|---|
| 11321 | )
|
|---|
| 11322 | xt "-26000,19000,5000,34000"
|
|---|
| 11323 | pts [
|
|---|
| 11324 | "-26000,34000"
|
|---|
| 11325 | "5000,34000"
|
|---|
| 11326 | "5000,19000"
|
|---|
| 11327 | ]
|
|---|
| 11328 | )
|
|---|
| 11329 | start &174
|
|---|
| 11330 | end &356
|
|---|
| 11331 | sat 32
|
|---|
| 11332 | eat 32
|
|---|
| 11333 | stc 0
|
|---|
| 11334 | st 0
|
|---|
| 11335 | sf 1
|
|---|
| 11336 | si 0
|
|---|
| 11337 | tg (WTG
|
|---|
| 11338 | uid 4228,0
|
|---|
| 11339 | ps "ConnStartEndStrategy"
|
|---|
| 11340 | stg "STSignalDisplayStrategy"
|
|---|
| 11341 | f (Text
|
|---|
| 11342 | uid 4229,0
|
|---|
| 11343 | va (VaSet
|
|---|
| 11344 | isHidden 1
|
|---|
| 11345 | )
|
|---|
| 11346 | xt "-27000,30000,-23900,31000"
|
|---|
| 11347 | st "CLK_50"
|
|---|
| 11348 | blo "-27000,30800"
|
|---|
| 11349 | tm "WireNameMgr"
|
|---|
| 11350 | )
|
|---|
| 11351 | )
|
|---|
| 11352 | on &164
|
|---|
| 11353 | )
|
|---|
| 11354 | *361 (Wire
|
|---|
| 11355 | uid 4240,0
|
|---|
| 11356 | shape (OrthoPolyLine
|
|---|
| 11357 | uid 4241,0
|
|---|
| 11358 | va (VaSet
|
|---|
| 11359 | vasetType 3
|
|---|
| 11360 | lineColor "0,0,65535"
|
|---|
| 11361 | )
|
|---|
| 11362 | xt "91750,19000,109000,44000"
|
|---|
| 11363 | pts [
|
|---|
| 11364 | "91750,44000"
|
|---|
| 11365 | "109000,44000"
|
|---|
| 11366 | "109000,19000"
|
|---|
| 11367 | ]
|
|---|
| 11368 | )
|
|---|
| 11369 | start &314
|
|---|
| 11370 | end &357
|
|---|
| 11371 | sat 32
|
|---|
| 11372 | eat 32
|
|---|
| 11373 | stc 0
|
|---|
| 11374 | st 0
|
|---|
| 11375 | sf 1
|
|---|
| 11376 | si 0
|
|---|
| 11377 | tg (WTG
|
|---|
| 11378 | uid 4242,0
|
|---|
| 11379 | ps "ConnStartEndStrategy"
|
|---|
| 11380 | stg "STSignalDisplayStrategy"
|
|---|
| 11381 | f (Text
|
|---|
| 11382 | uid 4243,0
|
|---|
| 11383 | va (VaSet
|
|---|
| 11384 | )
|
|---|
| 11385 | xt "93000,44000,96100,45000"
|
|---|
| 11386 | st "CLK_50"
|
|---|
| 11387 | blo "93000,44800"
|
|---|
| 11388 | tm "WireNameMgr"
|
|---|
| 11389 | )
|
|---|
| 11390 | )
|
|---|
| 11391 | on &164
|
|---|
| 11392 | )
|
|---|
| 11393 | *362 (Wire
|
|---|
| 11394 | uid 4272,0
|
|---|
| 11395 | shape (OrthoPolyLine
|
|---|
| 11396 | uid 4273,0
|
|---|
| 11397 | va (VaSet
|
|---|
| 11398 | vasetType 3
|
|---|
| 11399 | )
|
|---|
| 11400 | xt "-26000,76000,-18750,76000"
|
|---|
| 11401 | pts [
|
|---|
| 11402 | "-26000,76000"
|
|---|
| 11403 | "-18750,76000"
|
|---|
| 11404 | ]
|
|---|
| 11405 | )
|
|---|
| 11406 | start &178
|
|---|
| 11407 | end &247
|
|---|
| 11408 | sat 32
|
|---|
| 11409 | eat 32
|
|---|
| 11410 | stc 0
|
|---|
| 11411 | st 0
|
|---|
| 11412 | sf 1
|
|---|
| 11413 | si 0
|
|---|
| 11414 | tg (WTG
|
|---|
| 11415 | uid 4274,0
|
|---|
| 11416 | ps "ConnStartEndStrategy"
|
|---|
| 11417 | stg "STSignalDisplayStrategy"
|
|---|
| 11418 | f (Text
|
|---|
| 11419 | uid 4275,0
|
|---|
| 11420 | va (VaSet
|
|---|
| 11421 | isHidden 1
|
|---|
| 11422 | )
|
|---|
| 11423 | xt "-56000,70000,-50100,71000"
|
|---|
| 11424 | st "adc_data_array"
|
|---|
| 11425 | blo "-56000,70800"
|
|---|
| 11426 | tm "WireNameMgr"
|
|---|
| 11427 | )
|
|---|
| 11428 | )
|
|---|
| 11429 | on &177
|
|---|
| 11430 | )
|
|---|
| 11431 | *363 (Wire
|
|---|
| 11432 | uid 4401,0
|
|---|
| 11433 | shape (OrthoPolyLine
|
|---|
| 11434 | uid 4402,0
|
|---|
| 11435 | va (VaSet
|
|---|
| 11436 | vasetType 3
|
|---|
| 11437 | )
|
|---|
| 11438 | xt "1750,53000,18250,53000"
|
|---|
| 11439 | pts [
|
|---|
| 11440 | "18250,53000"
|
|---|
| 11441 | "1750,53000"
|
|---|
| 11442 | ]
|
|---|
| 11443 | )
|
|---|
| 11444 | start &40
|
|---|
| 11445 | end &197
|
|---|
| 11446 | sat 32
|
|---|
| 11447 | eat 32
|
|---|
| 11448 | st 0
|
|---|
| 11449 | sf 1
|
|---|
| 11450 | si 0
|
|---|
| 11451 | tg (WTG
|
|---|
| 11452 | uid 4403,0
|
|---|
| 11453 | ps "ConnStartEndStrategy"
|
|---|
| 11454 | stg "STSignalDisplayStrategy"
|
|---|
| 11455 | f (Text
|
|---|
| 11456 | uid 4404,0
|
|---|
| 11457 | va (VaSet
|
|---|
| 11458 | )
|
|---|
| 11459 | xt "13250,52000,17650,53000"
|
|---|
| 11460 | st "drs_clk_en"
|
|---|
| 11461 | blo "13250,52800"
|
|---|
| 11462 | tm "WireNameMgr"
|
|---|
| 11463 | )
|
|---|
| 11464 | )
|
|---|
| 11465 | on &179
|
|---|
| 11466 | )
|
|---|
| 11467 | *364 (Wire
|
|---|
| 11468 | uid 4407,0
|
|---|
| 11469 | shape (OrthoPolyLine
|
|---|
| 11470 | uid 4408,0
|
|---|
| 11471 | va (VaSet
|
|---|
| 11472 | vasetType 3
|
|---|
| 11473 | )
|
|---|
| 11474 | xt "1750,52000,18250,52000"
|
|---|
| 11475 | pts [
|
|---|
| 11476 | "18250,52000"
|
|---|
| 11477 | "1750,52000"
|
|---|
| 11478 | ]
|
|---|
| 11479 | )
|
|---|
| 11480 | start &44
|
|---|
| 11481 | end &203
|
|---|
| 11482 | sat 32
|
|---|
| 11483 | eat 32
|
|---|
| 11484 | st 0
|
|---|
| 11485 | sf 1
|
|---|
| 11486 | si 0
|
|---|
| 11487 | tg (WTG
|
|---|
| 11488 | uid 4409,0
|
|---|
| 11489 | ps "ConnStartEndStrategy"
|
|---|
| 11490 | stg "STSignalDisplayStrategy"
|
|---|
| 11491 | f (Text
|
|---|
| 11492 | uid 4410,0
|
|---|
| 11493 | va (VaSet
|
|---|
| 11494 | )
|
|---|
| 11495 | xt "11250,51000,17650,52000"
|
|---|
| 11496 | st "drs_s_cell_array"
|
|---|
| 11497 | blo "11250,51800"
|
|---|
| 11498 | tm "WireNameMgr"
|
|---|
| 11499 | )
|
|---|
| 11500 | )
|
|---|
| 11501 | on &180
|
|---|
| 11502 | )
|
|---|
| 11503 | *365 (Wire
|
|---|
| 11504 | uid 4419,0
|
|---|
| 11505 | shape (OrthoPolyLine
|
|---|
| 11506 | uid 4420,0
|
|---|
| 11507 | va (VaSet
|
|---|
| 11508 | vasetType 3
|
|---|
| 11509 | )
|
|---|
| 11510 | xt "1750,50000,18250,50000"
|
|---|
| 11511 | pts [
|
|---|
| 11512 | "18250,50000"
|
|---|
| 11513 | "1750,50000"
|
|---|
| 11514 | ]
|
|---|
| 11515 | )
|
|---|
| 11516 | start &42
|
|---|
| 11517 | end &198
|
|---|
| 11518 | sat 32
|
|---|
| 11519 | eat 32
|
|---|
| 11520 | st 0
|
|---|
| 11521 | sf 1
|
|---|
| 11522 | si 0
|
|---|
| 11523 | tg (WTG
|
|---|
| 11524 | uid 4421,0
|
|---|
| 11525 | ps "ConnStartEndStrategy"
|
|---|
| 11526 | stg "STSignalDisplayStrategy"
|
|---|
| 11527 | f (Text
|
|---|
| 11528 | uid 4422,0
|
|---|
| 11529 | va (VaSet
|
|---|
| 11530 | )
|
|---|
| 11531 | xt "11250,49000,17450,50000"
|
|---|
| 11532 | st "drs_read_s_cell"
|
|---|
| 11533 | blo "11250,49800"
|
|---|
| 11534 | tm "WireNameMgr"
|
|---|
| 11535 | )
|
|---|
| 11536 | )
|
|---|
| 11537 | on &181
|
|---|
| 11538 | )
|
|---|
| 11539 | *366 (Wire
|
|---|
| 11540 | uid 4537,0
|
|---|
| 11541 | shape (OrthoPolyLine
|
|---|
| 11542 | uid 4538,0
|
|---|
| 11543 | va (VaSet
|
|---|
| 11544 | vasetType 3
|
|---|
| 11545 | lineWidth 2
|
|---|
| 11546 | )
|
|---|
| 11547 | xt "-26000,57000,18250,57000"
|
|---|
| 11548 | pts [
|
|---|
| 11549 | "18250,57000"
|
|---|
| 11550 | "-26000,57000"
|
|---|
| 11551 | ]
|
|---|
| 11552 | )
|
|---|
| 11553 | start &39
|
|---|
| 11554 | end &184
|
|---|
| 11555 | sat 32
|
|---|
| 11556 | eat 32
|
|---|
| 11557 | sty 1
|
|---|
| 11558 | stc 0
|
|---|
| 11559 | st 0
|
|---|
| 11560 | sf 1
|
|---|
| 11561 | si 0
|
|---|
| 11562 | tg (WTG
|
|---|
| 11563 | uid 4541,0
|
|---|
| 11564 | ps "ConnStartEndStrategy"
|
|---|
| 11565 | stg "STSignalDisplayStrategy"
|
|---|
| 11566 | f (Text
|
|---|
| 11567 | uid 4542,0
|
|---|
| 11568 | va (VaSet
|
|---|
| 11569 | isHidden 1
|
|---|
| 11570 | )
|
|---|
| 11571 | xt "-20000,56000,-14100,57000"
|
|---|
| 11572 | st "drs_channel_id"
|
|---|
| 11573 | blo "-20000,56800"
|
|---|
| 11574 | tm "WireNameMgr"
|
|---|
| 11575 | )
|
|---|
| 11576 | )
|
|---|
| 11577 | on &182
|
|---|
| 11578 | )
|
|---|
| 11579 | *367 (Wire
|
|---|
| 11580 | uid 4545,0
|
|---|
| 11581 | shape (OrthoPolyLine
|
|---|
| 11582 | uid 4546,0
|
|---|
| 11583 | va (VaSet
|
|---|
| 11584 | vasetType 3
|
|---|
| 11585 | )
|
|---|
| 11586 | xt "-26000,60000,1000,60000"
|
|---|
| 11587 | pts [
|
|---|
| 11588 | "1000,60000"
|
|---|
| 11589 | "-26000,60000"
|
|---|
| 11590 | ]
|
|---|
| 11591 | )
|
|---|
| 11592 | start &291
|
|---|
| 11593 | end &185
|
|---|
| 11594 | sat 32
|
|---|
| 11595 | eat 32
|
|---|
| 11596 | stc 0
|
|---|
| 11597 | st 0
|
|---|
| 11598 | sf 1
|
|---|
| 11599 | si 0
|
|---|
| 11600 | tg (WTG
|
|---|
| 11601 | uid 4549,0
|
|---|
| 11602 | ps "ConnStartEndStrategy"
|
|---|
| 11603 | stg "STSignalDisplayStrategy"
|
|---|
| 11604 | f (Text
|
|---|
| 11605 | uid 4550,0
|
|---|
| 11606 | va (VaSet
|
|---|
| 11607 | )
|
|---|
| 11608 | xt "-18000,59000,-13700,60000"
|
|---|
| 11609 | st "drs_dwrite"
|
|---|
| 11610 | blo "-18000,59800"
|
|---|
| 11611 | tm "WireNameMgr"
|
|---|
| 11612 | )
|
|---|
| 11613 | )
|
|---|
| 11614 | on &183
|
|---|
| 11615 | )
|
|---|
| 11616 | *368 (Wire
|
|---|
| 11617 | uid 4671,0
|
|---|
| 11618 | shape (OrthoPolyLine
|
|---|
| 11619 | uid 4672,0
|
|---|
| 11620 | va (VaSet
|
|---|
| 11621 | vasetType 3
|
|---|
| 11622 | )
|
|---|
| 11623 | xt "-26000,47000,-18750,47000"
|
|---|
| 11624 | pts [
|
|---|
| 11625 | "-26000,47000"
|
|---|
| 11626 | "-18750,47000"
|
|---|
| 11627 | ]
|
|---|
| 11628 | )
|
|---|
| 11629 | start &190
|
|---|
| 11630 | end &199
|
|---|
| 11631 | sat 32
|
|---|
| 11632 | eat 32
|
|---|
| 11633 | stc 0
|
|---|
| 11634 | st 0
|
|---|
| 11635 | sf 1
|
|---|
| 11636 | si 0
|
|---|
| 11637 | tg (WTG
|
|---|
| 11638 | uid 4675,0
|
|---|
| 11639 | ps "ConnStartEndStrategy"
|
|---|
| 11640 | stg "STSignalDisplayStrategy"
|
|---|
| 11641 | f (Text
|
|---|
| 11642 | uid 4676,0
|
|---|
| 11643 | va (VaSet
|
|---|
| 11644 | isHidden 1
|
|---|
| 11645 | )
|
|---|
| 11646 | xt "-25000,46000,-19600,47000"
|
|---|
| 11647 | st "SROUT_in_0"
|
|---|
| 11648 | blo "-25000,46800"
|
|---|
| 11649 | tm "WireNameMgr"
|
|---|
| 11650 | )
|
|---|
| 11651 | )
|
|---|
| 11652 | on &186
|
|---|
| 11653 | )
|
|---|
| 11654 | *369 (Wire
|
|---|
| 11655 | uid 4679,0
|
|---|
| 11656 | shape (OrthoPolyLine
|
|---|
| 11657 | uid 4680,0
|
|---|
| 11658 | va (VaSet
|
|---|
| 11659 | vasetType 3
|
|---|
| 11660 | )
|
|---|
| 11661 | xt "-26000,48000,-18750,48000"
|
|---|
| 11662 | pts [
|
|---|
| 11663 | "-26000,48000"
|
|---|
| 11664 | "-18750,48000"
|
|---|
| 11665 | ]
|
|---|
| 11666 | )
|
|---|
| 11667 | start &191
|
|---|
| 11668 | end &200
|
|---|
| 11669 | sat 32
|
|---|
| 11670 | eat 32
|
|---|
| 11671 | stc 0
|
|---|
| 11672 | st 0
|
|---|
| 11673 | sf 1
|
|---|
| 11674 | si 0
|
|---|
| 11675 | tg (WTG
|
|---|
| 11676 | uid 4683,0
|
|---|
| 11677 | ps "ConnStartEndStrategy"
|
|---|
| 11678 | stg "STSignalDisplayStrategy"
|
|---|
| 11679 | f (Text
|
|---|
| 11680 | uid 4684,0
|
|---|
| 11681 | va (VaSet
|
|---|
| 11682 | isHidden 1
|
|---|
| 11683 | )
|
|---|
| 11684 | xt "-25000,47000,-19600,48000"
|
|---|
| 11685 | st "SROUT_in_1"
|
|---|
| 11686 | blo "-25000,47800"
|
|---|
| 11687 | tm "WireNameMgr"
|
|---|
| 11688 | )
|
|---|
| 11689 | )
|
|---|
| 11690 | on &187
|
|---|
| 11691 | )
|
|---|
| 11692 | *370 (Wire
|
|---|
| 11693 | uid 4687,0
|
|---|
| 11694 | shape (OrthoPolyLine
|
|---|
| 11695 | uid 4688,0
|
|---|
| 11696 | va (VaSet
|
|---|
| 11697 | vasetType 3
|
|---|
| 11698 | )
|
|---|
| 11699 | xt "-26000,49000,-18750,49000"
|
|---|
| 11700 | pts [
|
|---|
| 11701 | "-26000,49000"
|
|---|
| 11702 | "-18750,49000"
|
|---|
| 11703 | ]
|
|---|
| 11704 | )
|
|---|
| 11705 | start &192
|
|---|
| 11706 | end &201
|
|---|
| 11707 | sat 32
|
|---|
| 11708 | eat 32
|
|---|
| 11709 | stc 0
|
|---|
| 11710 | st 0
|
|---|
| 11711 | sf 1
|
|---|
| 11712 | si 0
|
|---|
| 11713 | tg (WTG
|
|---|
| 11714 | uid 4691,0
|
|---|
| 11715 | ps "ConnStartEndStrategy"
|
|---|
| 11716 | stg "STSignalDisplayStrategy"
|
|---|
| 11717 | f (Text
|
|---|
| 11718 | uid 4692,0
|
|---|
| 11719 | va (VaSet
|
|---|
| 11720 | isHidden 1
|
|---|
| 11721 | )
|
|---|
| 11722 | xt "-25000,48000,-19600,49000"
|
|---|
| 11723 | st "SROUT_in_2"
|
|---|
| 11724 | blo "-25000,48800"
|
|---|
| 11725 | tm "WireNameMgr"
|
|---|
| 11726 | )
|
|---|
| 11727 | )
|
|---|
| 11728 | on &188
|
|---|
| 11729 | )
|
|---|
| 11730 | *371 (Wire
|
|---|
| 11731 | uid 4695,0
|
|---|
| 11732 | shape (OrthoPolyLine
|
|---|
| 11733 | uid 4696,0
|
|---|
| 11734 | va (VaSet
|
|---|
| 11735 | vasetType 3
|
|---|
| 11736 | )
|
|---|
| 11737 | xt "-26000,50000,-18750,50000"
|
|---|
| 11738 | pts [
|
|---|
| 11739 | "-26000,50000"
|
|---|
| 11740 | "-18750,50000"
|
|---|
| 11741 | ]
|
|---|
| 11742 | )
|
|---|
| 11743 | start &193
|
|---|
| 11744 | end &202
|
|---|
| 11745 | sat 32
|
|---|
| 11746 | eat 32
|
|---|
| 11747 | stc 0
|
|---|
| 11748 | st 0
|
|---|
| 11749 | sf 1
|
|---|
| 11750 | si 0
|
|---|
| 11751 | tg (WTG
|
|---|
| 11752 | uid 4699,0
|
|---|
| 11753 | ps "ConnStartEndStrategy"
|
|---|
| 11754 | stg "STSignalDisplayStrategy"
|
|---|
| 11755 | f (Text
|
|---|
| 11756 | uid 4700,0
|
|---|
| 11757 | va (VaSet
|
|---|
| 11758 | isHidden 1
|
|---|
| 11759 | )
|
|---|
| 11760 | xt "-25000,49000,-19600,50000"
|
|---|
| 11761 | st "SROUT_in_3"
|
|---|
| 11762 | blo "-25000,49800"
|
|---|
| 11763 | tm "WireNameMgr"
|
|---|
| 11764 | )
|
|---|
| 11765 | )
|
|---|
| 11766 | on &189
|
|---|
| 11767 | )
|
|---|
| 11768 | *372 (Wire
|
|---|
| 11769 | uid 4743,0
|
|---|
| 11770 | shape (OrthoPolyLine
|
|---|
| 11771 | uid 4744,0
|
|---|
| 11772 | va (VaSet
|
|---|
| 11773 | vasetType 3
|
|---|
| 11774 | )
|
|---|
| 11775 | xt "1750,51000,18250,51000"
|
|---|
| 11776 | pts [
|
|---|
| 11777 | "1750,51000"
|
|---|
| 11778 | "18250,51000"
|
|---|
| 11779 | ]
|
|---|
| 11780 | )
|
|---|
| 11781 | start &204
|
|---|
| 11782 | end &43
|
|---|
| 11783 | sat 32
|
|---|
| 11784 | eat 32
|
|---|
| 11785 | st 0
|
|---|
| 11786 | sf 1
|
|---|
| 11787 | si 0
|
|---|
| 11788 | tg (WTG
|
|---|
| 11789 | uid 4747,0
|
|---|
| 11790 | ps "ConnStartEndStrategy"
|
|---|
| 11791 | stg "STSignalDisplayStrategy"
|
|---|
| 11792 | f (Text
|
|---|
| 11793 | uid 4748,0
|
|---|
| 11794 | va (VaSet
|
|---|
| 11795 | )
|
|---|
| 11796 | xt "3750,50000,12550,51000"
|
|---|
| 11797 | st "drs_read_s_cell_ready"
|
|---|
| 11798 | blo "3750,50800"
|
|---|
| 11799 | tm "WireNameMgr"
|
|---|
| 11800 | )
|
|---|
| 11801 | )
|
|---|
| 11802 | on &194
|
|---|
| 11803 | )
|
|---|
| 11804 | *373 (Wire
|
|---|
| 11805 | uid 4757,0
|
|---|
| 11806 | optionalChildren [
|
|---|
| 11807 | *374 (BdJunction
|
|---|
| 11808 | uid 6076,0
|
|---|
| 11809 | ps "OnConnectorStrategy"
|
|---|
| 11810 | shape (Circle
|
|---|
| 11811 | uid 6077,0
|
|---|
| 11812 | va (VaSet
|
|---|
| 11813 | vasetType 1
|
|---|
| 11814 | )
|
|---|
| 11815 | xt "14600,37600,15400,38400"
|
|---|
| 11816 | radius 400
|
|---|
| 11817 | )
|
|---|
| 11818 | )
|
|---|
| 11819 | ]
|
|---|
| 11820 | shape (OrthoPolyLine
|
|---|
| 11821 | uid 4758,0
|
|---|
| 11822 | va (VaSet
|
|---|
| 11823 | vasetType 3
|
|---|
| 11824 | lineColor "0,32896,0"
|
|---|
| 11825 | )
|
|---|
| 11826 | xt "-22000,38000,15000,45000"
|
|---|
| 11827 | pts [
|
|---|
| 11828 | "-18750,45000"
|
|---|
| 11829 | "-22000,45000"
|
|---|
| 11830 | "-22000,38000"
|
|---|
| 11831 | "15000,38000"
|
|---|
| 11832 | ]
|
|---|
| 11833 | )
|
|---|
| 11834 | start &196
|
|---|
| 11835 | end *375 (BdJunction
|
|---|
| 11836 | uid 6080,0
|
|---|
| 11837 | ps "OnConnectorStrategy"
|
|---|
| 11838 | shape (Circle
|
|---|
| 11839 | uid 6081,0
|
|---|
| 11840 | va (VaSet
|
|---|
| 11841 | vasetType 1
|
|---|
| 11842 | )
|
|---|
| 11843 | xt "14600,37600,15400,38400"
|
|---|
| 11844 | radius 400
|
|---|
| 11845 | )
|
|---|
| 11846 | )
|
|---|
| 11847 | sat 32
|
|---|
| 11848 | eat 32
|
|---|
| 11849 | stc 0
|
|---|
| 11850 | st 0
|
|---|
| 11851 | sf 1
|
|---|
| 11852 | si 0
|
|---|
| 11853 | tg (WTG
|
|---|
| 11854 | uid 4759,0
|
|---|
| 11855 | ps "ConnStartEndStrategy"
|
|---|
| 11856 | stg "STSignalDisplayStrategy"
|
|---|
| 11857 | f (Text
|
|---|
| 11858 | uid 4760,0
|
|---|
| 11859 | va (VaSet
|
|---|
| 11860 | )
|
|---|
| 11861 | xt "-22750,44000,-19650,45000"
|
|---|
| 11862 | st "CLK_25"
|
|---|
| 11863 | blo "-22750,44800"
|
|---|
| 11864 | tm "WireNameMgr"
|
|---|
| 11865 | )
|
|---|
| 11866 | )
|
|---|
| 11867 | on &173
|
|---|
| 11868 | )
|
|---|
| 11869 | *376 (Wire
|
|---|
| 11870 | uid 4948,0
|
|---|
| 11871 | shape (OrthoPolyLine
|
|---|
| 11872 | uid 4949,0
|
|---|
| 11873 | va (VaSet
|
|---|
| 11874 | vasetType 3
|
|---|
| 11875 | )
|
|---|
| 11876 | xt "-26000,51000,-18750,51000"
|
|---|
| 11877 | pts [
|
|---|
| 11878 | "-18750,51000"
|
|---|
| 11879 | "-26000,51000"
|
|---|
| 11880 | ]
|
|---|
| 11881 | )
|
|---|
| 11882 | start &205
|
|---|
| 11883 | end &211
|
|---|
| 11884 | sat 32
|
|---|
| 11885 | eat 32
|
|---|
| 11886 | stc 0
|
|---|
| 11887 | st 0
|
|---|
| 11888 | sf 1
|
|---|
| 11889 | si 0
|
|---|
| 11890 | tg (WTG
|
|---|
| 11891 | uid 4952,0
|
|---|
| 11892 | ps "ConnStartEndStrategy"
|
|---|
| 11893 | stg "STSignalDisplayStrategy"
|
|---|
| 11894 | f (Text
|
|---|
| 11895 | uid 4953,0
|
|---|
| 11896 | va (VaSet
|
|---|
| 11897 | isHidden 1
|
|---|
| 11898 | )
|
|---|
| 11899 | xt "-24000,50000,-19800,51000"
|
|---|
| 11900 | st "RSRLOAD"
|
|---|
| 11901 | blo "-24000,50800"
|
|---|
| 11902 | tm "WireNameMgr"
|
|---|
| 11903 | )
|
|---|
| 11904 | )
|
|---|
| 11905 | on &210
|
|---|
| 11906 | )
|
|---|
| 11907 | *377 (Wire
|
|---|
| 11908 | uid 4962,0
|
|---|
| 11909 | shape (OrthoPolyLine
|
|---|
| 11910 | uid 4963,0
|
|---|
| 11911 | va (VaSet
|
|---|
| 11912 | vasetType 3
|
|---|
| 11913 | )
|
|---|
| 11914 | xt "-26000,52000,-18750,52000"
|
|---|
| 11915 | pts [
|
|---|
| 11916 | "-18750,52000"
|
|---|
| 11917 | "-26000,52000"
|
|---|
| 11918 | ]
|
|---|
| 11919 | )
|
|---|
| 11920 | start &206
|
|---|
| 11921 | end &213
|
|---|
| 11922 | sat 32
|
|---|
| 11923 | eat 32
|
|---|
| 11924 | stc 0
|
|---|
| 11925 | st 0
|
|---|
| 11926 | sf 1
|
|---|
| 11927 | si 0
|
|---|
| 11928 | tg (WTG
|
|---|
| 11929 | uid 4966,0
|
|---|
| 11930 | ps "ConnStartEndStrategy"
|
|---|
| 11931 | stg "STSignalDisplayStrategy"
|
|---|
| 11932 | f (Text
|
|---|
| 11933 | uid 4967,0
|
|---|
| 11934 | va (VaSet
|
|---|
| 11935 | isHidden 1
|
|---|
| 11936 | )
|
|---|
| 11937 | xt "-23000,51000,-20000,52000"
|
|---|
| 11938 | st "SRCLK"
|
|---|
| 11939 | blo "-23000,51800"
|
|---|
| 11940 | tm "WireNameMgr"
|
|---|
| 11941 | )
|
|---|
| 11942 | )
|
|---|
| 11943 | on &212
|
|---|
| 11944 | )
|
|---|
| 11945 | *378 (Wire
|
|---|
| 11946 | uid 5090,0
|
|---|
| 11947 | shape (OrthoPolyLine
|
|---|
| 11948 | uid 5091,0
|
|---|
| 11949 | va (VaSet
|
|---|
| 11950 | vasetType 3
|
|---|
| 11951 | lineWidth 2
|
|---|
| 11952 | )
|
|---|
| 11953 | xt "92750,79000,126250,100000"
|
|---|
| 11954 | pts [
|
|---|
| 11955 | "126250,79000"
|
|---|
| 11956 | "116000,79000"
|
|---|
| 11957 | "116000,100000"
|
|---|
| 11958 | "92750,100000"
|
|---|
| 11959 | ]
|
|---|
| 11960 | )
|
|---|
| 11961 | start &87
|
|---|
| 11962 | end &216
|
|---|
| 11963 | sat 32
|
|---|
| 11964 | eat 32
|
|---|
| 11965 | sty 1
|
|---|
| 11966 | st 0
|
|---|
| 11967 | sf 1
|
|---|
| 11968 | tg (WTG
|
|---|
| 11969 | uid 5094,0
|
|---|
| 11970 | ps "ConnStartEndStrategy"
|
|---|
| 11971 | stg "STSignalDisplayStrategy"
|
|---|
| 11972 | f (Text
|
|---|
| 11973 | uid 5095,0
|
|---|
| 11974 | va (VaSet
|
|---|
| 11975 | )
|
|---|
| 11976 | xt "119000,78000,126000,79000"
|
|---|
| 11977 | st "config_addr : (7:0)"
|
|---|
| 11978 | blo "119000,78800"
|
|---|
| 11979 | tm "WireNameMgr"
|
|---|
| 11980 | )
|
|---|
| 11981 | )
|
|---|
| 11982 | on &230
|
|---|
| 11983 | )
|
|---|
| 11984 | *379 (Wire
|
|---|
| 11985 | uid 5098,0
|
|---|
| 11986 | shape (OrthoPolyLine
|
|---|
| 11987 | uid 5099,0
|
|---|
| 11988 | va (VaSet
|
|---|
| 11989 | vasetType 3
|
|---|
| 11990 | )
|
|---|
| 11991 | xt "92750,102000,103000,102000"
|
|---|
| 11992 | pts [
|
|---|
| 11993 | "92750,102000"
|
|---|
| 11994 | "103000,102000"
|
|---|
| 11995 | ]
|
|---|
| 11996 | )
|
|---|
| 11997 | start &217
|
|---|
| 11998 | sat 32
|
|---|
| 11999 | eat 16
|
|---|
| 12000 | st 0
|
|---|
| 12001 | sf 1
|
|---|
| 12002 | tg (WTG
|
|---|
| 12003 | uid 5102,0
|
|---|
| 12004 | ps "ConnStartEndStrategy"
|
|---|
| 12005 | stg "STSignalDisplayStrategy"
|
|---|
| 12006 | f (Text
|
|---|
| 12007 | uid 5103,0
|
|---|
| 12008 | va (VaSet
|
|---|
| 12009 | )
|
|---|
| 12010 | xt "94000,101000,100600,102000"
|
|---|
| 12011 | st "config_data_valid"
|
|---|
| 12012 | blo "94000,101800"
|
|---|
| 12013 | tm "WireNameMgr"
|
|---|
| 12014 | )
|
|---|
| 12015 | )
|
|---|
| 12016 | on &231
|
|---|
| 12017 | )
|
|---|
| 12018 | *380 (Wire
|
|---|
| 12019 | uid 5106,0
|
|---|
| 12020 | shape (OrthoPolyLine
|
|---|
| 12021 | uid 5107,0
|
|---|
| 12022 | va (VaSet
|
|---|
| 12023 | vasetType 3
|
|---|
| 12024 | )
|
|---|
| 12025 | xt "92750,84000,126250,106000"
|
|---|
| 12026 | pts [
|
|---|
| 12027 | "92750,106000"
|
|---|
| 12028 | "121000,106000"
|
|---|
| 12029 | "121000,84000"
|
|---|
| 12030 | "126250,84000"
|
|---|
| 12031 | ]
|
|---|
| 12032 | )
|
|---|
| 12033 | start &218
|
|---|
| 12034 | end &88
|
|---|
| 12035 | sat 32
|
|---|
| 12036 | eat 32
|
|---|
| 12037 | st 0
|
|---|
| 12038 | sf 1
|
|---|
| 12039 | tg (WTG
|
|---|
| 12040 | uid 5110,0
|
|---|
| 12041 | ps "ConnStartEndStrategy"
|
|---|
| 12042 | stg "STSignalDisplayStrategy"
|
|---|
| 12043 | f (Text
|
|---|
| 12044 | uid 5111,0
|
|---|
| 12045 | va (VaSet
|
|---|
| 12046 | )
|
|---|
| 12047 | xt "94750,105000,99550,106000"
|
|---|
| 12048 | st "config_busy"
|
|---|
| 12049 | blo "94750,105800"
|
|---|
| 12050 | tm "WireNameMgr"
|
|---|
| 12051 | )
|
|---|
| 12052 | )
|
|---|
| 12053 | on &232
|
|---|
| 12054 | )
|
|---|
| 12055 | *381 (Wire
|
|---|
| 12056 | uid 5114,0
|
|---|
| 12057 | shape (OrthoPolyLine
|
|---|
| 12058 | uid 5115,0
|
|---|
| 12059 | va (VaSet
|
|---|
| 12060 | vasetType 3
|
|---|
| 12061 | lineWidth 2
|
|---|
| 12062 | )
|
|---|
| 12063 | xt "92750,80000,126250,101000"
|
|---|
| 12064 | pts [
|
|---|
| 12065 | "92750,101000"
|
|---|
| 12066 | "117000,101000"
|
|---|
| 12067 | "117000,80000"
|
|---|
| 12068 | "126250,80000"
|
|---|
| 12069 | ]
|
|---|
| 12070 | )
|
|---|
| 12071 | start &219
|
|---|
| 12072 | end &89
|
|---|
| 12073 | sat 32
|
|---|
| 12074 | eat 32
|
|---|
| 12075 | sty 1
|
|---|
| 12076 | st 0
|
|---|
| 12077 | sf 1
|
|---|
| 12078 | tg (WTG
|
|---|
| 12079 | uid 5118,0
|
|---|
| 12080 | ps "ConnStartEndStrategy"
|
|---|
| 12081 | stg "STSignalDisplayStrategy"
|
|---|
| 12082 | f (Text
|
|---|
| 12083 | uid 5119,0
|
|---|
| 12084 | va (VaSet
|
|---|
| 12085 | )
|
|---|
| 12086 | xt "94000,100000,101700,101000"
|
|---|
| 12087 | st "config_data : (15:0)"
|
|---|
| 12088 | blo "94000,100800"
|
|---|
| 12089 | tm "WireNameMgr"
|
|---|
| 12090 | )
|
|---|
| 12091 | )
|
|---|
| 12092 | on &233
|
|---|
| 12093 | )
|
|---|
| 12094 | *382 (Wire
|
|---|
| 12095 | uid 5122,0
|
|---|
| 12096 | shape (OrthoPolyLine
|
|---|
| 12097 | uid 5123,0
|
|---|
| 12098 | va (VaSet
|
|---|
| 12099 | vasetType 3
|
|---|
| 12100 | )
|
|---|
| 12101 | xt "92750,82000,126250,104000"
|
|---|
| 12102 | pts [
|
|---|
| 12103 | "126250,82000"
|
|---|
| 12104 | "119000,82000"
|
|---|
| 12105 | "119000,104000"
|
|---|
| 12106 | "92750,104000"
|
|---|
| 12107 | ]
|
|---|
| 12108 | )
|
|---|
| 12109 | start &91
|
|---|
| 12110 | end &221
|
|---|
| 12111 | sat 32
|
|---|
| 12112 | eat 32
|
|---|
| 12113 | st 0
|
|---|
| 12114 | sf 1
|
|---|
| 12115 | tg (WTG
|
|---|
| 12116 | uid 5126,0
|
|---|
| 12117 | ps "ConnStartEndStrategy"
|
|---|
| 12118 | stg "STSignalDisplayStrategy"
|
|---|
| 12119 | f (Text
|
|---|
| 12120 | uid 5127,0
|
|---|
| 12121 | va (VaSet
|
|---|
| 12122 | )
|
|---|
| 12123 | xt "121000,81000,126300,82000"
|
|---|
| 12124 | st "config_wr_en"
|
|---|
| 12125 | blo "121000,81800"
|
|---|
| 12126 | tm "WireNameMgr"
|
|---|
| 12127 | )
|
|---|
| 12128 | )
|
|---|
| 12129 | on &234
|
|---|
| 12130 | )
|
|---|
| 12131 | *383 (Wire
|
|---|
| 12132 | uid 5130,0
|
|---|
| 12133 | shape (OrthoPolyLine
|
|---|
| 12134 | uid 5131,0
|
|---|
| 12135 | va (VaSet
|
|---|
| 12136 | vasetType 3
|
|---|
| 12137 | )
|
|---|
| 12138 | xt "92750,83000,126250,105000"
|
|---|
| 12139 | pts [
|
|---|
| 12140 | "126250,83000"
|
|---|
| 12141 | "120000,83000"
|
|---|
| 12142 | "120000,105000"
|
|---|
| 12143 | "92750,105000"
|
|---|
| 12144 | ]
|
|---|
| 12145 | )
|
|---|
| 12146 | start &93
|
|---|
| 12147 | end &223
|
|---|
| 12148 | sat 32
|
|---|
| 12149 | eat 32
|
|---|
| 12150 | st 0
|
|---|
| 12151 | sf 1
|
|---|
| 12152 | tg (WTG
|
|---|
| 12153 | uid 5134,0
|
|---|
| 12154 | ps "ConnStartEndStrategy"
|
|---|
| 12155 | stg "STSignalDisplayStrategy"
|
|---|
| 12156 | f (Text
|
|---|
| 12157 | uid 5135,0
|
|---|
| 12158 | va (VaSet
|
|---|
| 12159 | )
|
|---|
| 12160 | xt "121000,82000,126200,83000"
|
|---|
| 12161 | st "config_rd_en"
|
|---|
| 12162 | blo "121000,82800"
|
|---|
| 12163 | tm "WireNameMgr"
|
|---|
| 12164 | )
|
|---|
| 12165 | )
|
|---|
| 12166 | on &235
|
|---|
| 12167 | )
|
|---|
| 12168 | *384 (Wire
|
|---|
| 12169 | uid 5138,0
|
|---|
| 12170 | optionalChildren [
|
|---|
| 12171 | *385 (BdJunction
|
|---|
| 12172 | uid 5400,0
|
|---|
| 12173 | ps "OnConnectorStrategy"
|
|---|
| 12174 | shape (Circle
|
|---|
| 12175 | uid 5401,0
|
|---|
| 12176 | va (VaSet
|
|---|
| 12177 | vasetType 1
|
|---|
| 12178 | )
|
|---|
| 12179 | xt "57600,84600,58400,85400"
|
|---|
| 12180 | radius 400
|
|---|
| 12181 | )
|
|---|
| 12182 | )
|
|---|
| 12183 | ]
|
|---|
| 12184 | shape (OrthoPolyLine
|
|---|
| 12185 | uid 5139,0
|
|---|
| 12186 | va (VaSet
|
|---|
| 12187 | vasetType 3
|
|---|
| 12188 | )
|
|---|
| 12189 | xt "40750,80000,73250,102000"
|
|---|
| 12190 | pts [
|
|---|
| 12191 | "73250,102000"
|
|---|
| 12192 | "58000,102000"
|
|---|
| 12193 | "58000,80000"
|
|---|
| 12194 | "40750,80000"
|
|---|
| 12195 | ]
|
|---|
| 12196 | )
|
|---|
| 12197 | start &220
|
|---|
| 12198 | end &35
|
|---|
| 12199 | ss 0
|
|---|
| 12200 | es 0
|
|---|
| 12201 | sat 32
|
|---|
| 12202 | eat 32
|
|---|
| 12203 | st 0
|
|---|
| 12204 | sf 1
|
|---|
| 12205 | tg (WTG
|
|---|
| 12206 | uid 5142,0
|
|---|
| 12207 | ps "ConnStartEndStrategy"
|
|---|
| 12208 | stg "STSignalDisplayStrategy"
|
|---|
| 12209 | f (Text
|
|---|
| 12210 | uid 5143,0
|
|---|
| 12211 | va (VaSet
|
|---|
| 12212 | )
|
|---|
| 12213 | xt "42000,79000,45400,80000"
|
|---|
| 12214 | st "roi_array"
|
|---|
| 12215 | blo "42000,79800"
|
|---|
| 12216 | tm "WireNameMgr"
|
|---|
| 12217 | )
|
|---|
| 12218 | )
|
|---|
| 12219 | on &148
|
|---|
| 12220 | )
|
|---|
| 12221 | *386 (Wire
|
|---|
| 12222 | uid 5146,0
|
|---|
| 12223 | shape (OrthoPolyLine
|
|---|
| 12224 | uid 5147,0
|
|---|
| 12225 | va (VaSet
|
|---|
| 12226 | vasetType 3
|
|---|
| 12227 | )
|
|---|
| 12228 | xt "37750,109000,73250,109000"
|
|---|
| 12229 | pts [
|
|---|
| 12230 | "73250,109000"
|
|---|
| 12231 | "37750,109000"
|
|---|
| 12232 | ]
|
|---|
| 12233 | )
|
|---|
| 12234 | start &222
|
|---|
| 12235 | end &258
|
|---|
| 12236 | es 0
|
|---|
| 12237 | sat 32
|
|---|
| 12238 | eat 32
|
|---|
| 12239 | st 0
|
|---|
| 12240 | sf 1
|
|---|
| 12241 | tg (WTG
|
|---|
| 12242 | uid 5150,0
|
|---|
| 12243 | ps "ConnStartEndStrategy"
|
|---|
| 12244 | stg "STSignalDisplayStrategy"
|
|---|
| 12245 | f (Text
|
|---|
| 12246 | uid 5151,0
|
|---|
| 12247 | va (VaSet
|
|---|
| 12248 | )
|
|---|
| 12249 | xt "39000,108000,42700,109000"
|
|---|
| 12250 | st "dac_array"
|
|---|
| 12251 | blo "39000,108800"
|
|---|
| 12252 | tm "WireNameMgr"
|
|---|
| 12253 | )
|
|---|
| 12254 | )
|
|---|
| 12255 | on &236
|
|---|
| 12256 | )
|
|---|
| 12257 | *387 (Wire
|
|---|
| 12258 | uid 5168,0
|
|---|
| 12259 | shape (OrthoPolyLine
|
|---|
| 12260 | uid 5169,0
|
|---|
| 12261 | va (VaSet
|
|---|
| 12262 | vasetType 3
|
|---|
| 12263 | )
|
|---|
| 12264 | xt "58000,85000,71250,85000"
|
|---|
| 12265 | pts [
|
|---|
| 12266 | "58000,85000"
|
|---|
| 12267 | "71250,85000"
|
|---|
| 12268 | ]
|
|---|
| 12269 | )
|
|---|
| 12270 | start &385
|
|---|
| 12271 | end &125
|
|---|
| 12272 | sat 32
|
|---|
| 12273 | eat 32
|
|---|
| 12274 | st 0
|
|---|
| 12275 | sf 1
|
|---|
| 12276 | si 0
|
|---|
| 12277 | tg (WTG
|
|---|
| 12278 | uid 5172,0
|
|---|
| 12279 | ps "ConnStartEndStrategy"
|
|---|
| 12280 | stg "STSignalDisplayStrategy"
|
|---|
| 12281 | f (Text
|
|---|
| 12282 | uid 5173,0
|
|---|
| 12283 | va (VaSet
|
|---|
| 12284 | )
|
|---|
| 12285 | xt "68000,84000,71400,85000"
|
|---|
| 12286 | st "roi_array"
|
|---|
| 12287 | blo "68000,84800"
|
|---|
| 12288 | tm "WireNameMgr"
|
|---|
| 12289 | )
|
|---|
| 12290 | )
|
|---|
| 12291 | on &148
|
|---|
| 12292 | )
|
|---|
| 12293 | *388 (Wire
|
|---|
| 12294 | uid 5184,0
|
|---|
| 12295 | shape (OrthoPolyLine
|
|---|
| 12296 | uid 5185,0
|
|---|
| 12297 | va (VaSet
|
|---|
| 12298 | vasetType 3
|
|---|
| 12299 | )
|
|---|
| 12300 | xt "40750,81000,73250,103000"
|
|---|
| 12301 | pts [
|
|---|
| 12302 | "73250,103000"
|
|---|
| 12303 | "57000,103000"
|
|---|
| 12304 | "57000,81000"
|
|---|
| 12305 | "40750,81000"
|
|---|
| 12306 | ]
|
|---|
| 12307 | )
|
|---|
| 12308 | start &224
|
|---|
| 12309 | end &47
|
|---|
| 12310 | sat 32
|
|---|
| 12311 | eat 32
|
|---|
| 12312 | st 0
|
|---|
| 12313 | sf 1
|
|---|
| 12314 | tg (WTG
|
|---|
| 12315 | uid 5186,0
|
|---|
| 12316 | ps "ConnStartEndStrategy"
|
|---|
| 12317 | stg "STSignalDisplayStrategy"
|
|---|
| 12318 | f (Text
|
|---|
| 12319 | uid 5187,0
|
|---|
| 12320 | va (VaSet
|
|---|
| 12321 | )
|
|---|
| 12322 | xt "66000,102000,72200,103000"
|
|---|
| 12323 | st "config_start_cm"
|
|---|
| 12324 | blo "66000,102800"
|
|---|
| 12325 | tm "WireNameMgr"
|
|---|
| 12326 | )
|
|---|
| 12327 | )
|
|---|
| 12328 | on &237
|
|---|
| 12329 | )
|
|---|
| 12330 | *389 (Wire
|
|---|
| 12331 | uid 5190,0
|
|---|
| 12332 | shape (OrthoPolyLine
|
|---|
| 12333 | uid 5191,0
|
|---|
| 12334 | va (VaSet
|
|---|
| 12335 | vasetType 3
|
|---|
| 12336 | )
|
|---|
| 12337 | xt "40750,83000,73250,105000"
|
|---|
| 12338 | pts [
|
|---|
| 12339 | "73250,105000"
|
|---|
| 12340 | "55000,105000"
|
|---|
| 12341 | "55000,83000"
|
|---|
| 12342 | "40750,83000"
|
|---|
| 12343 | ]
|
|---|
| 12344 | )
|
|---|
| 12345 | start &225
|
|---|
| 12346 | end &46
|
|---|
| 12347 | sat 32
|
|---|
| 12348 | eat 32
|
|---|
| 12349 | st 0
|
|---|
| 12350 | sf 1
|
|---|
| 12351 | tg (WTG
|
|---|
| 12352 | uid 5192,0
|
|---|
| 12353 | ps "ConnStartEndStrategy"
|
|---|
| 12354 | stg "STSignalDisplayStrategy"
|
|---|
| 12355 | f (Text
|
|---|
| 12356 | uid 5193,0
|
|---|
| 12357 | va (VaSet
|
|---|
| 12358 | )
|
|---|
| 12359 | xt "66000,104000,72500,105000"
|
|---|
| 12360 | st "config_ready_cm"
|
|---|
| 12361 | blo "66000,104800"
|
|---|
| 12362 | tm "WireNameMgr"
|
|---|
| 12363 | )
|
|---|
| 12364 | )
|
|---|
| 12365 | on &238
|
|---|
| 12366 | )
|
|---|
| 12367 | *390 (Wire
|
|---|
| 12368 | uid 5222,0
|
|---|
| 12369 | shape (OrthoPolyLine
|
|---|
| 12370 | uid 5223,0
|
|---|
| 12371 | va (VaSet
|
|---|
| 12372 | vasetType 3
|
|---|
| 12373 | lineWidth 2
|
|---|
| 12374 | )
|
|---|
| 12375 | xt "148750,71000,153000,71000"
|
|---|
| 12376 | pts [
|
|---|
| 12377 | "148750,71000"
|
|---|
| 12378 | "153000,71000"
|
|---|
| 12379 | ]
|
|---|
| 12380 | )
|
|---|
| 12381 | start &85
|
|---|
| 12382 | end &19
|
|---|
| 12383 | sat 32
|
|---|
| 12384 | eat 32
|
|---|
| 12385 | sty 1
|
|---|
| 12386 | stc 0
|
|---|
| 12387 | st 0
|
|---|
| 12388 | sf 1
|
|---|
| 12389 | si 0
|
|---|
| 12390 | tg (WTG
|
|---|
| 12391 | uid 5224,0
|
|---|
| 12392 | ps "ConnStartEndStrategy"
|
|---|
| 12393 | stg "STSignalDisplayStrategy"
|
|---|
| 12394 | f (Text
|
|---|
| 12395 | uid 5225,0
|
|---|
| 12396 | va (VaSet
|
|---|
| 12397 | isHidden 1
|
|---|
| 12398 | )
|
|---|
| 12399 | xt "150750,70000,152150,71000"
|
|---|
| 12400 | st "led"
|
|---|
| 12401 | blo "150750,70800"
|
|---|
| 12402 | tm "WireNameMgr"
|
|---|
| 12403 | )
|
|---|
| 12404 | )
|
|---|
| 12405 | on &239
|
|---|
| 12406 | )
|
|---|
| 12407 | *391 (Wire
|
|---|
| 12408 | uid 5281,0
|
|---|
| 12409 | shape (OrthoPolyLine
|
|---|
| 12410 | uid 5282,0
|
|---|
| 12411 | va (VaSet
|
|---|
| 12412 | vasetType 3
|
|---|
| 12413 | )
|
|---|
| 12414 | xt "40750,53000,126250,59000"
|
|---|
| 12415 | pts [
|
|---|
| 12416 | "126250,59000"
|
|---|
| 12417 | "73000,59000"
|
|---|
| 12418 | "73000,53000"
|
|---|
| 12419 | "40750,53000"
|
|---|
| 12420 | ]
|
|---|
| 12421 | )
|
|---|
| 12422 | start &86
|
|---|
| 12423 | end &48
|
|---|
| 12424 | sat 32
|
|---|
| 12425 | eat 32
|
|---|
| 12426 | st 0
|
|---|
| 12427 | sf 1
|
|---|
| 12428 | si 0
|
|---|
| 12429 | tg (WTG
|
|---|
| 12430 | uid 5283,0
|
|---|
| 12431 | ps "ConnStartEndStrategy"
|
|---|
| 12432 | stg "STSignalDisplayStrategy"
|
|---|
| 12433 | f (Text
|
|---|
| 12434 | uid 5284,0
|
|---|
| 12435 | va (VaSet
|
|---|
| 12436 | )
|
|---|
| 12437 | xt "121250,58000,124850,59000"
|
|---|
| 12438 | st "s_trigger"
|
|---|
| 12439 | blo "121250,58800"
|
|---|
| 12440 | tm "WireNameMgr"
|
|---|
| 12441 | )
|
|---|
| 12442 | )
|
|---|
| 12443 | on &240
|
|---|
| 12444 | )
|
|---|
| 12445 | *392 (Wire
|
|---|
| 12446 | uid 5404,0
|
|---|
| 12447 | shape (OrthoPolyLine
|
|---|
| 12448 | uid 5405,0
|
|---|
| 12449 | va (VaSet
|
|---|
| 12450 | vasetType 3
|
|---|
| 12451 | )
|
|---|
| 12452 | xt "37750,85000,48000,107000"
|
|---|
| 12453 | pts [
|
|---|
| 12454 | "37750,107000"
|
|---|
| 12455 | "48000,107000"
|
|---|
| 12456 | "48000,85000"
|
|---|
| 12457 | "40750,85000"
|
|---|
| 12458 | ]
|
|---|
| 12459 | )
|
|---|
| 12460 | start &259
|
|---|
| 12461 | end &50
|
|---|
| 12462 | sat 32
|
|---|
| 12463 | eat 32
|
|---|
| 12464 | st 0
|
|---|
| 12465 | sf 1
|
|---|
| 12466 | tg (WTG
|
|---|
| 12467 | uid 5406,0
|
|---|
| 12468 | ps "ConnStartEndStrategy"
|
|---|
| 12469 | stg "STSignalDisplayStrategy"
|
|---|
| 12470 | f (Text
|
|---|
| 12471 | uid 5407,0
|
|---|
| 12472 | va (VaSet
|
|---|
| 12473 | )
|
|---|
| 12474 | xt "39000,106000,45500,107000"
|
|---|
| 12475 | st "config_ready_spi"
|
|---|
| 12476 | blo "39000,106800"
|
|---|
| 12477 | tm "WireNameMgr"
|
|---|
| 12478 | )
|
|---|
| 12479 | )
|
|---|
| 12480 | on &243
|
|---|
| 12481 | )
|
|---|
| 12482 | *393 (Wire
|
|---|
| 12483 | uid 5474,0
|
|---|
| 12484 | shape (OrthoPolyLine
|
|---|
| 12485 | uid 5475,0
|
|---|
| 12486 | va (VaSet
|
|---|
| 12487 | vasetType 3
|
|---|
| 12488 | )
|
|---|
| 12489 | xt "37750,90000,44000,101000"
|
|---|
| 12490 | pts [
|
|---|
| 12491 | "37750,101000"
|
|---|
| 12492 | "44000,101000"
|
|---|
| 12493 | "44000,90000"
|
|---|
| 12494 | "40750,90000"
|
|---|
| 12495 | ]
|
|---|
| 12496 | )
|
|---|
| 12497 | start &262
|
|---|
| 12498 | end &52
|
|---|
| 12499 | sat 32
|
|---|
| 12500 | eat 32
|
|---|
| 12501 | st 0
|
|---|
| 12502 | sf 1
|
|---|
| 12503 | tg (WTG
|
|---|
| 12504 | uid 5476,0
|
|---|
| 12505 | ps "ConnStartEndStrategy"
|
|---|
| 12506 | stg "STSignalDisplayStrategy"
|
|---|
| 12507 | f (Text
|
|---|
| 12508 | uid 5477,0
|
|---|
| 12509 | va (VaSet
|
|---|
| 12510 | )
|
|---|
| 12511 | xt "39750,100000,45050,101000"
|
|---|
| 12512 | st "sensor_ready"
|
|---|
| 12513 | blo "39750,100800"
|
|---|
| 12514 | tm "WireNameMgr"
|
|---|
| 12515 | )
|
|---|
| 12516 | )
|
|---|
| 12517 | on &241
|
|---|
| 12518 | )
|
|---|
| 12519 | *394 (Wire
|
|---|
| 12520 | uid 5480,0
|
|---|
| 12521 | shape (OrthoPolyLine
|
|---|
| 12522 | uid 5481,0
|
|---|
| 12523 | va (VaSet
|
|---|
| 12524 | vasetType 3
|
|---|
| 12525 | )
|
|---|
| 12526 | xt "37750,89000,45000,102000"
|
|---|
| 12527 | pts [
|
|---|
| 12528 | "37750,102000"
|
|---|
| 12529 | "45000,102000"
|
|---|
| 12530 | "45000,89000"
|
|---|
| 12531 | "40750,89000"
|
|---|
| 12532 | ]
|
|---|
| 12533 | )
|
|---|
| 12534 | start &261
|
|---|
| 12535 | end &51
|
|---|
| 12536 | sat 32
|
|---|
| 12537 | eat 32
|
|---|
| 12538 | st 0
|
|---|
| 12539 | sf 1
|
|---|
| 12540 | tg (WTG
|
|---|
| 12541 | uid 5482,0
|
|---|
| 12542 | ps "ConnStartEndStrategy"
|
|---|
| 12543 | stg "STSignalDisplayStrategy"
|
|---|
| 12544 | f (Text
|
|---|
| 12545 | uid 5483,0
|
|---|
| 12546 | va (VaSet
|
|---|
| 12547 | )
|
|---|
| 12548 | xt "39750,101000,44950,102000"
|
|---|
| 12549 | st "sensor_array"
|
|---|
| 12550 | blo "39750,101800"
|
|---|
| 12551 | tm "WireNameMgr"
|
|---|
| 12552 | )
|
|---|
| 12553 | )
|
|---|
| 12554 | on &242
|
|---|
| 12555 | )
|
|---|
| 12556 | *395 (Wire
|
|---|
| 12557 | uid 5582,0
|
|---|
| 12558 | shape (OrthoPolyLine
|
|---|
| 12559 | uid 5583,0
|
|---|
| 12560 | va (VaSet
|
|---|
| 12561 | vasetType 3
|
|---|
| 12562 | lineColor "0,0,65535"
|
|---|
| 12563 | )
|
|---|
| 12564 | xt "69000,100000,73250,100000"
|
|---|
| 12565 | pts [
|
|---|
| 12566 | "69000,100000"
|
|---|
| 12567 | "73250,100000"
|
|---|
| 12568 | ]
|
|---|
| 12569 | )
|
|---|
| 12570 | end &215
|
|---|
| 12571 | sat 16
|
|---|
| 12572 | eat 32
|
|---|
| 12573 | st 0
|
|---|
| 12574 | sf 1
|
|---|
| 12575 | tg (WTG
|
|---|
| 12576 | uid 5586,0
|
|---|
| 12577 | ps "ConnStartEndStrategy"
|
|---|
| 12578 | stg "STSignalDisplayStrategy"
|
|---|
| 12579 | f (Text
|
|---|
| 12580 | uid 5587,0
|
|---|
| 12581 | va (VaSet
|
|---|
| 12582 | )
|
|---|
| 12583 | xt "70000,99000,73100,100000"
|
|---|
| 12584 | st "CLK_50"
|
|---|
| 12585 | blo "70000,99800"
|
|---|
| 12586 | tm "WireNameMgr"
|
|---|
| 12587 | )
|
|---|
| 12588 | )
|
|---|
| 12589 | on &164
|
|---|
| 12590 | )
|
|---|
| 12591 | *396 (Wire
|
|---|
| 12592 | uid 5602,0
|
|---|
| 12593 | optionalChildren [
|
|---|
| 12594 | &375
|
|---|
| 12595 | *397 (BdJunction
|
|---|
| 12596 | uid 6086,0
|
|---|
| 12597 | ps "OnConnectorStrategy"
|
|---|
| 12598 | shape (Circle
|
|---|
| 12599 | uid 6087,0
|
|---|
| 12600 | va (VaSet
|
|---|
| 12601 | vasetType 1
|
|---|
| 12602 | )
|
|---|
| 12603 | xt "67600,43600,68400,44400"
|
|---|
| 12604 | radius 400
|
|---|
| 12605 | )
|
|---|
| 12606 | )
|
|---|
| 12607 | ]
|
|---|
| 12608 | shape (OrthoPolyLine
|
|---|
| 12609 | uid 5603,0
|
|---|
| 12610 | va (VaSet
|
|---|
| 12611 | vasetType 3
|
|---|
| 12612 | lineColor "0,32896,0"
|
|---|
| 12613 | )
|
|---|
| 12614 | xt "15000,38000,76250,48000"
|
|---|
| 12615 | pts [
|
|---|
| 12616 | "18250,48000"
|
|---|
| 12617 | "15000,48000"
|
|---|
| 12618 | "15000,38000"
|
|---|
| 12619 | "68000,38000"
|
|---|
| 12620 | "68000,44000"
|
|---|
| 12621 | "76250,44000"
|
|---|
| 12622 | ]
|
|---|
| 12623 | )
|
|---|
| 12624 | start &23
|
|---|
| 12625 | end &310
|
|---|
| 12626 | sat 32
|
|---|
| 12627 | eat 32
|
|---|
| 12628 | stc 0
|
|---|
| 12629 | st 0
|
|---|
| 12630 | sf 1
|
|---|
| 12631 | si 0
|
|---|
| 12632 | tg (WTG
|
|---|
| 12633 | uid 5604,0
|
|---|
| 12634 | ps "ConnStartEndStrategy"
|
|---|
| 12635 | stg "STSignalDisplayStrategy"
|
|---|
| 12636 | f (Text
|
|---|
| 12637 | uid 5605,0
|
|---|
| 12638 | va (VaSet
|
|---|
| 12639 | )
|
|---|
| 12640 | xt "15000,47000,18100,48000"
|
|---|
| 12641 | st "CLK_25"
|
|---|
| 12642 | blo "15000,47800"
|
|---|
| 12643 | tm "WireNameMgr"
|
|---|
| 12644 | )
|
|---|
| 12645 | )
|
|---|
| 12646 | on &173
|
|---|
| 12647 | )
|
|---|
| 12648 | *398 (Wire
|
|---|
| 12649 | uid 5626,0
|
|---|
| 12650 | shape (OrthoPolyLine
|
|---|
| 12651 | uid 5627,0
|
|---|
| 12652 | va (VaSet
|
|---|
| 12653 | vasetType 3
|
|---|
| 12654 | )
|
|---|
| 12655 | xt "750,76000,18250,76000"
|
|---|
| 12656 | pts [
|
|---|
| 12657 | "18250,76000"
|
|---|
| 12658 | "750,76000"
|
|---|
| 12659 | ]
|
|---|
| 12660 | )
|
|---|
| 12661 | start &45
|
|---|
| 12662 | end &248
|
|---|
| 12663 | sat 32
|
|---|
| 12664 | eat 32
|
|---|
| 12665 | st 0
|
|---|
| 12666 | sf 1
|
|---|
| 12667 | si 0
|
|---|
| 12668 | tg (WTG
|
|---|
| 12669 | uid 5630,0
|
|---|
| 12670 | ps "ConnStartEndStrategy"
|
|---|
| 12671 | stg "STSignalDisplayStrategy"
|
|---|
| 12672 | f (Text
|
|---|
| 12673 | uid 5631,0
|
|---|
| 12674 | va (VaSet
|
|---|
| 12675 | )
|
|---|
| 12676 | xt "11250,75000,18350,76000"
|
|---|
| 12677 | st "adc_data_array_int"
|
|---|
| 12678 | blo "11250,75800"
|
|---|
| 12679 | tm "WireNameMgr"
|
|---|
| 12680 | )
|
|---|
| 12681 | )
|
|---|
| 12682 | on &245
|
|---|
| 12683 | )
|
|---|
| 12684 | *399 (Wire
|
|---|
| 12685 | uid 5634,0
|
|---|
| 12686 | shape (OrthoPolyLine
|
|---|
| 12687 | uid 5635,0
|
|---|
| 12688 | va (VaSet
|
|---|
| 12689 | vasetType 3
|
|---|
| 12690 | lineWidth 2
|
|---|
| 12691 | )
|
|---|
| 12692 | xt "750,77000,18250,77000"
|
|---|
| 12693 | pts [
|
|---|
| 12694 | "18250,77000"
|
|---|
| 12695 | "750,77000"
|
|---|
| 12696 | ]
|
|---|
| 12697 | )
|
|---|
| 12698 | start &38
|
|---|
| 12699 | end &249
|
|---|
| 12700 | sat 32
|
|---|
| 12701 | eat 32
|
|---|
| 12702 | sty 1
|
|---|
| 12703 | st 0
|
|---|
| 12704 | sf 1
|
|---|
| 12705 | si 0
|
|---|
| 12706 | tg (WTG
|
|---|
| 12707 | uid 5638,0
|
|---|
| 12708 | ps "ConnStartEndStrategy"
|
|---|
| 12709 | stg "STSignalDisplayStrategy"
|
|---|
| 12710 | f (Text
|
|---|
| 12711 | uid 5639,0
|
|---|
| 12712 | va (VaSet
|
|---|
| 12713 | )
|
|---|
| 12714 | xt "11250,76000,16750,77000"
|
|---|
| 12715 | st "adc_otr : (3:0)"
|
|---|
| 12716 | blo "11250,76800"
|
|---|
| 12717 | tm "WireNameMgr"
|
|---|
| 12718 | )
|
|---|
| 12719 | )
|
|---|
| 12720 | on &244
|
|---|
| 12721 | )
|
|---|
| 12722 | *400 (Wire
|
|---|
| 12723 | uid 5646,0
|
|---|
| 12724 | shape (OrthoPolyLine
|
|---|
| 12725 | uid 5647,0
|
|---|
| 12726 | va (VaSet
|
|---|
| 12727 | vasetType 3
|
|---|
| 12728 | lineColor "49152,0,0"
|
|---|
| 12729 | )
|
|---|
| 12730 | xt "-26000,74000,-18750,74000"
|
|---|
| 12731 | pts [
|
|---|
| 12732 | "-26000,74000"
|
|---|
| 12733 | "-18750,74000"
|
|---|
| 12734 | ]
|
|---|
| 12735 | )
|
|---|
| 12736 | end &251
|
|---|
| 12737 | sat 16
|
|---|
| 12738 | eat 32
|
|---|
| 12739 | st 0
|
|---|
| 12740 | sf 1
|
|---|
| 12741 | si 0
|
|---|
| 12742 | tg (WTG
|
|---|
| 12743 | uid 5652,0
|
|---|
| 12744 | ps "ConnStartEndStrategy"
|
|---|
| 12745 | stg "STSignalDisplayStrategy"
|
|---|
| 12746 | f (Text
|
|---|
| 12747 | uid 5653,0
|
|---|
| 12748 | va (VaSet
|
|---|
| 12749 | )
|
|---|
| 12750 | xt "-25000,74000,-20500,75000"
|
|---|
| 12751 | st "CLK_25_PS"
|
|---|
| 12752 | blo "-25000,74800"
|
|---|
| 12753 | tm "WireNameMgr"
|
|---|
| 12754 | )
|
|---|
| 12755 | )
|
|---|
| 12756 | on &162
|
|---|
| 12757 | )
|
|---|
| 12758 | *401 (Wire
|
|---|
| 12759 | uid 5745,0
|
|---|
| 12760 | shape (OrthoPolyLine
|
|---|
| 12761 | uid 5746,0
|
|---|
| 12762 | va (VaSet
|
|---|
| 12763 | vasetType 3
|
|---|
| 12764 | )
|
|---|
| 12765 | xt "37750,87000,46000,105000"
|
|---|
| 12766 | pts [
|
|---|
| 12767 | "40750,87000"
|
|---|
| 12768 | "46000,87000"
|
|---|
| 12769 | "46000,105000"
|
|---|
| 12770 | "37750,105000"
|
|---|
| 12771 | ]
|
|---|
| 12772 | )
|
|---|
| 12773 | start &54
|
|---|
| 12774 | end &260
|
|---|
| 12775 | sat 32
|
|---|
| 12776 | eat 32
|
|---|
| 12777 | st 0
|
|---|
| 12778 | sf 1
|
|---|
| 12779 | si 0
|
|---|
| 12780 | tg (WTG
|
|---|
| 12781 | uid 5749,0
|
|---|
| 12782 | ps "ConnStartEndStrategy"
|
|---|
| 12783 | stg "STSignalDisplayStrategy"
|
|---|
| 12784 | f (Text
|
|---|
| 12785 | uid 5750,0
|
|---|
| 12786 | va (VaSet
|
|---|
| 12787 | )
|
|---|
| 12788 | xt "39000,104000,45200,105000"
|
|---|
| 12789 | st "config_start_spi"
|
|---|
| 12790 | blo "39000,104800"
|
|---|
| 12791 | tm "WireNameMgr"
|
|---|
| 12792 | )
|
|---|
| 12793 | )
|
|---|
| 12794 | on &255
|
|---|
| 12795 | )
|
|---|
| 12796 | *402 (Wire
|
|---|
| 12797 | uid 5805,0
|
|---|
| 12798 | shape (OrthoPolyLine
|
|---|
| 12799 | uid 5806,0
|
|---|
| 12800 | va (VaSet
|
|---|
| 12801 | vasetType 3
|
|---|
| 12802 | )
|
|---|
| 12803 | xt "16000,101000,20250,101000"
|
|---|
| 12804 | pts [
|
|---|
| 12805 | "16000,101000"
|
|---|
| 12806 | "20250,101000"
|
|---|
| 12807 | ]
|
|---|
| 12808 | )
|
|---|
| 12809 | end &265
|
|---|
| 12810 | sat 16
|
|---|
| 12811 | eat 32
|
|---|
| 12812 | st 0
|
|---|
| 12813 | sf 1
|
|---|
| 12814 | tg (WTG
|
|---|
| 12815 | uid 5809,0
|
|---|
| 12816 | ps "ConnStartEndStrategy"
|
|---|
| 12817 | stg "STSignalDisplayStrategy"
|
|---|
| 12818 | f (Text
|
|---|
| 12819 | uid 5810,0
|
|---|
| 12820 | va (VaSet
|
|---|
| 12821 | )
|
|---|
| 12822 | xt "17000,100000,20100,101000"
|
|---|
| 12823 | st "CLK_50"
|
|---|
| 12824 | blo "17000,100800"
|
|---|
| 12825 | tm "WireNameMgr"
|
|---|
| 12826 | )
|
|---|
| 12827 | )
|
|---|
| 12828 | on &164
|
|---|
| 12829 | )
|
|---|
| 12830 | *403 (Wire
|
|---|
| 12831 | uid 5813,0
|
|---|
| 12832 | shape (OrthoPolyLine
|
|---|
| 12833 | uid 5814,0
|
|---|
| 12834 | va (VaSet
|
|---|
| 12835 | vasetType 3
|
|---|
| 12836 | )
|
|---|
| 12837 | xt "12000,107000,20250,107000"
|
|---|
| 12838 | pts [
|
|---|
| 12839 | "20250,107000"
|
|---|
| 12840 | "12000,107000"
|
|---|
| 12841 | ]
|
|---|
| 12842 | )
|
|---|
| 12843 | start &257
|
|---|
| 12844 | end &276
|
|---|
| 12845 | sat 32
|
|---|
| 12846 | eat 32
|
|---|
| 12847 | stc 0
|
|---|
| 12848 | st 0
|
|---|
| 12849 | sf 1
|
|---|
| 12850 | si 0
|
|---|
| 12851 | tg (WTG
|
|---|
| 12852 | uid 5817,0
|
|---|
| 12853 | ps "ConnStartEndStrategy"
|
|---|
| 12854 | stg "STSignalDisplayStrategy"
|
|---|
| 12855 | f (Text
|
|---|
| 12856 | uid 5818,0
|
|---|
| 12857 | va (VaSet
|
|---|
| 12858 | isHidden 1
|
|---|
| 12859 | )
|
|---|
| 12860 | xt "13000,106000,14700,107000"
|
|---|
| 12861 | st "sclk"
|
|---|
| 12862 | blo "13000,106800"
|
|---|
| 12863 | tm "WireNameMgr"
|
|---|
| 12864 | )
|
|---|
| 12865 | )
|
|---|
| 12866 | on &272
|
|---|
| 12867 | )
|
|---|
| 12868 | *404 (Wire
|
|---|
| 12869 | uid 5821,0
|
|---|
| 12870 | shape (OrthoPolyLine
|
|---|
| 12871 | uid 5822,0
|
|---|
| 12872 | va (VaSet
|
|---|
| 12873 | vasetType 3
|
|---|
| 12874 | )
|
|---|
| 12875 | xt "12000,108000,20250,108000"
|
|---|
| 12876 | pts [
|
|---|
| 12877 | "20250,108000"
|
|---|
| 12878 | "12000,108000"
|
|---|
| 12879 | ]
|
|---|
| 12880 | )
|
|---|
| 12881 | start &268
|
|---|
| 12882 | end &277
|
|---|
| 12883 | sat 32
|
|---|
| 12884 | eat 32
|
|---|
| 12885 | stc 0
|
|---|
| 12886 | st 0
|
|---|
| 12887 | sf 1
|
|---|
| 12888 | si 0
|
|---|
| 12889 | tg (WTG
|
|---|
| 12890 | uid 5825,0
|
|---|
| 12891 | ps "ConnStartEndStrategy"
|
|---|
| 12892 | stg "STSignalDisplayStrategy"
|
|---|
| 12893 | f (Text
|
|---|
| 12894 | uid 5826,0
|
|---|
| 12895 | va (VaSet
|
|---|
| 12896 | isHidden 1
|
|---|
| 12897 | )
|
|---|
| 12898 | xt "13000,107000,14400,108000"
|
|---|
| 12899 | st "sio"
|
|---|
| 12900 | blo "13000,107800"
|
|---|
| 12901 | tm "WireNameMgr"
|
|---|
| 12902 | )
|
|---|
| 12903 | )
|
|---|
| 12904 | on &273
|
|---|
| 12905 | )
|
|---|
| 12906 | *405 (Wire
|
|---|
| 12907 | uid 5829,0
|
|---|
| 12908 | shape (OrthoPolyLine
|
|---|
| 12909 | uid 5830,0
|
|---|
| 12910 | va (VaSet
|
|---|
| 12911 | vasetType 3
|
|---|
| 12912 | )
|
|---|
| 12913 | xt "12000,105000,20250,105000"
|
|---|
| 12914 | pts [
|
|---|
| 12915 | "20250,105000"
|
|---|
| 12916 | "12000,105000"
|
|---|
| 12917 | ]
|
|---|
| 12918 | )
|
|---|
| 12919 | start &263
|
|---|
| 12920 | end &278
|
|---|
| 12921 | sat 32
|
|---|
| 12922 | eat 32
|
|---|
| 12923 | stc 0
|
|---|
| 12924 | st 0
|
|---|
| 12925 | sf 1
|
|---|
| 12926 | si 0
|
|---|
| 12927 | tg (WTG
|
|---|
| 12928 | uid 5833,0
|
|---|
| 12929 | ps "ConnStartEndStrategy"
|
|---|
| 12930 | stg "STSignalDisplayStrategy"
|
|---|
| 12931 | f (Text
|
|---|
| 12932 | uid 5834,0
|
|---|
| 12933 | va (VaSet
|
|---|
| 12934 | isHidden 1
|
|---|
| 12935 | )
|
|---|
| 12936 | xt "13000,104000,15800,105000"
|
|---|
| 12937 | st "dac_cs"
|
|---|
| 12938 | blo "13000,104800"
|
|---|
| 12939 | tm "WireNameMgr"
|
|---|
| 12940 | )
|
|---|
| 12941 | )
|
|---|
| 12942 | on &274
|
|---|
| 12943 | )
|
|---|
| 12944 | *406 (Wire
|
|---|
| 12945 | uid 5837,0
|
|---|
| 12946 | shape (OrthoPolyLine
|
|---|
| 12947 | uid 5838,0
|
|---|
| 12948 | va (VaSet
|
|---|
| 12949 | vasetType 3
|
|---|
| 12950 | lineWidth 2
|
|---|
| 12951 | )
|
|---|
| 12952 | xt "12000,104000,20250,104000"
|
|---|
| 12953 | pts [
|
|---|
| 12954 | "20250,104000"
|
|---|
| 12955 | "12000,104000"
|
|---|
| 12956 | ]
|
|---|
| 12957 | )
|
|---|
| 12958 | start &264
|
|---|
| 12959 | end &279
|
|---|
| 12960 | sat 32
|
|---|
| 12961 | eat 32
|
|---|
| 12962 | sty 1
|
|---|
| 12963 | stc 0
|
|---|
| 12964 | st 0
|
|---|
| 12965 | sf 1
|
|---|
| 12966 | si 0
|
|---|
| 12967 | tg (WTG
|
|---|
| 12968 | uid 5841,0
|
|---|
| 12969 | ps "ConnStartEndStrategy"
|
|---|
| 12970 | stg "STSignalDisplayStrategy"
|
|---|
| 12971 | f (Text
|
|---|
| 12972 | uid 5842,0
|
|---|
| 12973 | va (VaSet
|
|---|
| 12974 | isHidden 1
|
|---|
| 12975 | )
|
|---|
| 12976 | xt "13000,103000,16900,104000"
|
|---|
| 12977 | st "sensor_cs"
|
|---|
| 12978 | blo "13000,103800"
|
|---|
| 12979 | tm "WireNameMgr"
|
|---|
| 12980 | )
|
|---|
| 12981 | )
|
|---|
| 12982 | on &275
|
|---|
| 12983 | )
|
|---|
| 12984 | *407 (Wire
|
|---|
| 12985 | uid 5950,0
|
|---|
| 12986 | shape (OrthoPolyLine
|
|---|
| 12987 | uid 5951,0
|
|---|
| 12988 | va (VaSet
|
|---|
| 12989 | vasetType 3
|
|---|
| 12990 | )
|
|---|
| 12991 | xt "40750,54000,126250,60000"
|
|---|
| 12992 | pts [
|
|---|
| 12993 | "126250,60000"
|
|---|
| 12994 | "72000,60000"
|
|---|
| 12995 | "72000,54000"
|
|---|
| 12996 | "40750,54000"
|
|---|
| 12997 | ]
|
|---|
| 12998 | )
|
|---|
| 12999 | start &92
|
|---|
| 13000 | end &56
|
|---|
| 13001 | sat 32
|
|---|
| 13002 | eat 32
|
|---|
| 13003 | st 0
|
|---|
| 13004 | sf 1
|
|---|
| 13005 | si 0
|
|---|
| 13006 | tg (WTG
|
|---|
| 13007 | uid 5952,0
|
|---|
| 13008 | ps "ConnStartEndStrategy"
|
|---|
| 13009 | stg "STSignalDisplayStrategy"
|
|---|
| 13010 | f (Text
|
|---|
| 13011 | uid 5953,0
|
|---|
| 13012 | va (VaSet
|
|---|
| 13013 | )
|
|---|
| 13014 | xt "120250,59000,124850,60000"
|
|---|
| 13015 | st "new_config"
|
|---|
| 13016 | blo "120250,59800"
|
|---|
| 13017 | tm "WireNameMgr"
|
|---|
| 13018 | )
|
|---|
| 13019 | )
|
|---|
| 13020 | on &280
|
|---|
| 13021 | )
|
|---|
| 13022 | *408 (Wire
|
|---|
| 13023 | uid 5962,0
|
|---|
| 13024 | shape (OrthoPolyLine
|
|---|
| 13025 | uid 5963,0
|
|---|
| 13026 | va (VaSet
|
|---|
| 13027 | vasetType 3
|
|---|
| 13028 | )
|
|---|
| 13029 | xt "40750,55000,126250,61000"
|
|---|
| 13030 | pts [
|
|---|
| 13031 | "126250,61000"
|
|---|
| 13032 | "71000,61000"
|
|---|
| 13033 | "71000,55000"
|
|---|
| 13034 | "40750,55000"
|
|---|
| 13035 | ]
|
|---|
| 13036 | )
|
|---|
| 13037 | start &90
|
|---|
| 13038 | end &55
|
|---|
| 13039 | sat 32
|
|---|
| 13040 | eat 32
|
|---|
| 13041 | st 0
|
|---|
| 13042 | sf 1
|
|---|
| 13043 | si 0
|
|---|
| 13044 | tg (WTG
|
|---|
| 13045 | uid 5964,0
|
|---|
| 13046 | ps "ConnStartEndStrategy"
|
|---|
| 13047 | stg "STSignalDisplayStrategy"
|
|---|
| 13048 | f (Text
|
|---|
| 13049 | uid 5965,0
|
|---|
| 13050 | va (VaSet
|
|---|
| 13051 | )
|
|---|
| 13052 | xt "119250,60000,124850,61000"
|
|---|
| 13053 | st "config_started"
|
|---|
| 13054 | blo "119250,60800"
|
|---|
| 13055 | tm "WireNameMgr"
|
|---|
| 13056 | )
|
|---|
| 13057 | )
|
|---|
| 13058 | on &281
|
|---|
| 13059 | )
|
|---|
| 13060 | *409 (Wire
|
|---|
| 13061 | uid 6002,0
|
|---|
| 13062 | shape (OrthoPolyLine
|
|---|
| 13063 | uid 6003,0
|
|---|
| 13064 | va (VaSet
|
|---|
| 13065 | vasetType 3
|
|---|
| 13066 | )
|
|---|
| 13067 | xt "40750,82000,73250,104000"
|
|---|
| 13068 | pts [
|
|---|
| 13069 | "73250,104000"
|
|---|
| 13070 | "56000,104000"
|
|---|
| 13071 | "56000,82000"
|
|---|
| 13072 | "40750,82000"
|
|---|
| 13073 | ]
|
|---|
| 13074 | )
|
|---|
| 13075 | start &226
|
|---|
| 13076 | end &57
|
|---|
| 13077 | sat 32
|
|---|
| 13078 | eat 32
|
|---|
| 13079 | st 0
|
|---|
| 13080 | sf 1
|
|---|
| 13081 | si 0
|
|---|
| 13082 | tg (WTG
|
|---|
| 13083 | uid 6004,0
|
|---|
| 13084 | ps "ConnStartEndStrategy"
|
|---|
| 13085 | stg "STSignalDisplayStrategy"
|
|---|
| 13086 | f (Text
|
|---|
| 13087 | uid 6005,0
|
|---|
| 13088 | va (VaSet
|
|---|
| 13089 | )
|
|---|
| 13090 | xt "66250,103000,73050,104000"
|
|---|
| 13091 | st "config_started_cu"
|
|---|
| 13092 | blo "66250,103800"
|
|---|
| 13093 | tm "WireNameMgr"
|
|---|
| 13094 | )
|
|---|
| 13095 | )
|
|---|
| 13096 | on &283
|
|---|
| 13097 | )
|
|---|
| 13098 | *410 (Wire
|
|---|
| 13099 | uid 6008,0
|
|---|
| 13100 | shape (OrthoPolyLine
|
|---|
| 13101 | uid 6009,0
|
|---|
| 13102 | va (VaSet
|
|---|
| 13103 | vasetType 3
|
|---|
| 13104 | )
|
|---|
| 13105 | xt "37750,86000,47000,106000"
|
|---|
| 13106 | pts [
|
|---|
| 13107 | "37750,106000"
|
|---|
| 13108 | "47000,106000"
|
|---|
| 13109 | "47000,86000"
|
|---|
| 13110 | "40750,86000"
|
|---|
| 13111 | ]
|
|---|
| 13112 | )
|
|---|
| 13113 | start &266
|
|---|
| 13114 | end &59
|
|---|
| 13115 | sat 32
|
|---|
| 13116 | eat 32
|
|---|
| 13117 | st 0
|
|---|
| 13118 | sf 1
|
|---|
| 13119 | si 0
|
|---|
| 13120 | tg (WTG
|
|---|
| 13121 | uid 6010,0
|
|---|
| 13122 | ps "ConnStartEndStrategy"
|
|---|
| 13123 | stg "STSignalDisplayStrategy"
|
|---|
| 13124 | f (Text
|
|---|
| 13125 | uid 6011,0
|
|---|
| 13126 | va (VaSet
|
|---|
| 13127 | )
|
|---|
| 13128 | xt "39000,105000,46000,106000"
|
|---|
| 13129 | st "config_started_spi"
|
|---|
| 13130 | blo "39000,105800"
|
|---|
| 13131 | tm "WireNameMgr"
|
|---|
| 13132 | )
|
|---|
| 13133 | )
|
|---|
| 13134 | on &282
|
|---|
| 13135 | )
|
|---|
| 13136 | *411 (Wire
|
|---|
| 13137 | uid 6018,0
|
|---|
| 13138 | shape (OrthoPolyLine
|
|---|
| 13139 | uid 6019,0
|
|---|
| 13140 | va (VaSet
|
|---|
| 13141 | vasetType 3
|
|---|
| 13142 | )
|
|---|
| 13143 | xt "40750,71000,71250,76000"
|
|---|
| 13144 | pts [
|
|---|
| 13145 | "40750,71000"
|
|---|
| 13146 | "63000,71000"
|
|---|
| 13147 | "63000,76000"
|
|---|
| 13148 | "71250,76000"
|
|---|
| 13149 | ]
|
|---|
| 13150 | )
|
|---|
| 13151 | start &58
|
|---|
| 13152 | end &127
|
|---|
| 13153 | sat 32
|
|---|
| 13154 | eat 32
|
|---|
| 13155 | st 0
|
|---|
| 13156 | sf 1
|
|---|
| 13157 | si 0
|
|---|
| 13158 | tg (WTG
|
|---|
| 13159 | uid 6020,0
|
|---|
| 13160 | ps "ConnStartEndStrategy"
|
|---|
| 13161 | stg "STSignalDisplayStrategy"
|
|---|
| 13162 | f (Text
|
|---|
| 13163 | uid 6021,0
|
|---|
| 13164 | va (VaSet
|
|---|
| 13165 | )
|
|---|
| 13166 | xt "41000,70000,48200,71000"
|
|---|
| 13167 | st "config_started_mm"
|
|---|
| 13168 | blo "41000,70800"
|
|---|
| 13169 | tm "WireNameMgr"
|
|---|
| 13170 | )
|
|---|
| 13171 | )
|
|---|
| 13172 | on &284
|
|---|
| 13173 | )
|
|---|
| 13174 | *412 (Wire
|
|---|
| 13175 | uid 6064,0
|
|---|
| 13176 | shape (OrthoPolyLine
|
|---|
| 13177 | uid 6065,0
|
|---|
| 13178 | va (VaSet
|
|---|
| 13179 | vasetType 3
|
|---|
| 13180 | )
|
|---|
| 13181 | xt "40750,79000,47000,79000"
|
|---|
| 13182 | pts [
|
|---|
| 13183 | "47000,79000"
|
|---|
| 13184 | "40750,79000"
|
|---|
| 13185 | ]
|
|---|
| 13186 | )
|
|---|
| 13187 | end &60
|
|---|
| 13188 | sat 16
|
|---|
| 13189 | eat 32
|
|---|
| 13190 | st 0
|
|---|
| 13191 | sf 1
|
|---|
| 13192 | si 0
|
|---|
| 13193 | tg (WTG
|
|---|
| 13194 | uid 6068,0
|
|---|
| 13195 | ps "ConnStartEndStrategy"
|
|---|
| 13196 | stg "STSignalDisplayStrategy"
|
|---|
| 13197 | f (Text
|
|---|
| 13198 | uid 6069,0
|
|---|
| 13199 | va (VaSet
|
|---|
| 13200 | )
|
|---|
| 13201 | xt "42000,78000,45700,79000"
|
|---|
| 13202 | st "dac_array"
|
|---|
| 13203 | blo "42000,78800"
|
|---|
| 13204 | tm "WireNameMgr"
|
|---|
| 13205 | )
|
|---|
| 13206 | )
|
|---|
| 13207 | on &236
|
|---|
| 13208 | )
|
|---|
| 13209 | *413 (Wire
|
|---|
| 13210 | uid 6072,0
|
|---|
| 13211 | shape (OrthoPolyLine
|
|---|
| 13212 | uid 6073,0
|
|---|
| 13213 | va (VaSet
|
|---|
| 13214 | vasetType 3
|
|---|
| 13215 | lineColor "0,32896,0"
|
|---|
| 13216 | )
|
|---|
| 13217 | xt "-1250,21000,15000,38000"
|
|---|
| 13218 | pts [
|
|---|
| 13219 | "-1250,21000"
|
|---|
| 13220 | "15000,21000"
|
|---|
| 13221 | "15000,38000"
|
|---|
| 13222 | ]
|
|---|
| 13223 | )
|
|---|
| 13224 | start &167
|
|---|
| 13225 | end &374
|
|---|
| 13226 | sat 32
|
|---|
| 13227 | eat 32
|
|---|
| 13228 | stc 0
|
|---|
| 13229 | st 0
|
|---|
| 13230 | sf 1
|
|---|
| 13231 | si 0
|
|---|
| 13232 | tg (WTG
|
|---|
| 13233 | uid 6074,0
|
|---|
| 13234 | ps "ConnStartEndStrategy"
|
|---|
| 13235 | stg "STSignalDisplayStrategy"
|
|---|
| 13236 | f (Text
|
|---|
| 13237 | uid 6075,0
|
|---|
| 13238 | va (VaSet
|
|---|
| 13239 | )
|
|---|
| 13240 | xt "750,20000,3850,21000"
|
|---|
| 13241 | st "CLK_25"
|
|---|
| 13242 | blo "750,20800"
|
|---|
| 13243 | tm "WireNameMgr"
|
|---|
| 13244 | )
|
|---|
| 13245 | )
|
|---|
| 13246 | on &173
|
|---|
| 13247 | )
|
|---|
| 13248 | *414 (Wire
|
|---|
| 13249 | uid 6082,0
|
|---|
| 13250 | shape (OrthoPolyLine
|
|---|
| 13251 | uid 6083,0
|
|---|
| 13252 | va (VaSet
|
|---|
| 13253 | vasetType 3
|
|---|
| 13254 | lineColor "0,32896,0"
|
|---|
| 13255 | )
|
|---|
| 13256 | xt "68000,44000,71250,68000"
|
|---|
| 13257 | pts [
|
|---|
| 13258 | "71250,68000"
|
|---|
| 13259 | "68000,68000"
|
|---|
| 13260 | "68000,44000"
|
|---|
| 13261 | ]
|
|---|
| 13262 | )
|
|---|
| 13263 | start &112
|
|---|
| 13264 | end &397
|
|---|
| 13265 | sat 32
|
|---|
| 13266 | eat 32
|
|---|
| 13267 | stc 0
|
|---|
| 13268 | st 0
|
|---|
| 13269 | sf 1
|
|---|
| 13270 | si 0
|
|---|
| 13271 | tg (WTG
|
|---|
| 13272 | uid 6084,0
|
|---|
| 13273 | ps "ConnStartEndStrategy"
|
|---|
| 13274 | stg "STSignalDisplayStrategy"
|
|---|
| 13275 | f (Text
|
|---|
| 13276 | uid 6085,0
|
|---|
| 13277 | va (VaSet
|
|---|
| 13278 | )
|
|---|
| 13279 | xt "68000,67000,71100,68000"
|
|---|
| 13280 | st "CLK_25"
|
|---|
| 13281 | blo "68000,67800"
|
|---|
| 13282 | tm "WireNameMgr"
|
|---|
| 13283 | )
|
|---|
| 13284 | )
|
|---|
| 13285 | on &173
|
|---|
| 13286 | )
|
|---|
| 13287 | *415 (Wire
|
|---|
| 13288 | uid 6160,0
|
|---|
| 13289 | shape (OrthoPolyLine
|
|---|
| 13290 | uid 6161,0
|
|---|
| 13291 | va (VaSet
|
|---|
| 13292 | vasetType 3
|
|---|
| 13293 | )
|
|---|
| 13294 | xt "12000,109000,20250,109000"
|
|---|
| 13295 | pts [
|
|---|
| 13296 | "20250,109000"
|
|---|
| 13297 | "12000,109000"
|
|---|
| 13298 | ]
|
|---|
| 13299 | )
|
|---|
| 13300 | start &267
|
|---|
| 13301 | end &286
|
|---|
| 13302 | sat 32
|
|---|
| 13303 | eat 32
|
|---|
| 13304 | stc 0
|
|---|
| 13305 | st 0
|
|---|
| 13306 | sf 1
|
|---|
| 13307 | si 0
|
|---|
| 13308 | tg (WTG
|
|---|
| 13309 | uid 6164,0
|
|---|
| 13310 | ps "ConnStartEndStrategy"
|
|---|
| 13311 | stg "STSignalDisplayStrategy"
|
|---|
| 13312 | f (Text
|
|---|
| 13313 | uid 6165,0
|
|---|
| 13314 | va (VaSet
|
|---|
| 13315 | isHidden 1
|
|---|
| 13316 | )
|
|---|
| 13317 | xt "13000,108000,15000,109000"
|
|---|
| 13318 | st "mosi"
|
|---|
| 13319 | blo "13000,108800"
|
|---|
| 13320 | tm "WireNameMgr"
|
|---|
| 13321 | )
|
|---|
| 13322 | )
|
|---|
| 13323 | on &285
|
|---|
| 13324 | )
|
|---|
| 13325 | *416 (Wire
|
|---|
| 13326 | uid 6276,0
|
|---|
| 13327 | shape (OrthoPolyLine
|
|---|
| 13328 | uid 6277,0
|
|---|
| 13329 | va (VaSet
|
|---|
| 13330 | vasetType 3
|
|---|
| 13331 | )
|
|---|
| 13332 | xt "-23000,63000,-18750,63000"
|
|---|
| 13333 | pts [
|
|---|
| 13334 | "-23000,63000"
|
|---|
| 13335 | "-18750,63000"
|
|---|
| 13336 | ]
|
|---|
| 13337 | )
|
|---|
| 13338 | end &104
|
|---|
| 13339 | sat 16
|
|---|
| 13340 | eat 32
|
|---|
| 13341 | st 0
|
|---|
| 13342 | sf 1
|
|---|
| 13343 | tg (WTG
|
|---|
| 13344 | uid 6280,0
|
|---|
| 13345 | ps "ConnStartEndStrategy"
|
|---|
| 13346 | stg "STSignalDisplayStrategy"
|
|---|
| 13347 | f (Text
|
|---|
| 13348 | uid 6281,0
|
|---|
| 13349 | va (VaSet
|
|---|
| 13350 | )
|
|---|
| 13351 | xt "-22000,62000,-17500,63000"
|
|---|
| 13352 | st "CLK_25_PS"
|
|---|
| 13353 | blo "-22000,62800"
|
|---|
| 13354 | tm "WireNameMgr"
|
|---|
| 13355 | )
|
|---|
| 13356 | )
|
|---|
| 13357 | on &162
|
|---|
| 13358 | )
|
|---|
| 13359 | *417 (Wire
|
|---|
| 13360 | uid 6362,0
|
|---|
| 13361 | shape (OrthoPolyLine
|
|---|
| 13362 | uid 6363,0
|
|---|
| 13363 | va (VaSet
|
|---|
| 13364 | vasetType 3
|
|---|
| 13365 | )
|
|---|
| 13366 | xt "148750,75000,153000,75000"
|
|---|
| 13367 | pts [
|
|---|
| 13368 | "148750,75000"
|
|---|
| 13369 | "153000,75000"
|
|---|
| 13370 | ]
|
|---|
| 13371 | )
|
|---|
| 13372 | start &94
|
|---|
| 13373 | end &288
|
|---|
| 13374 | sat 32
|
|---|
| 13375 | eat 32
|
|---|
| 13376 | stc 0
|
|---|
| 13377 | st 0
|
|---|
| 13378 | sf 1
|
|---|
| 13379 | si 0
|
|---|
| 13380 | tg (WTG
|
|---|
| 13381 | uid 6366,0
|
|---|
| 13382 | ps "ConnStartEndStrategy"
|
|---|
| 13383 | stg "STSignalDisplayStrategy"
|
|---|
| 13384 | f (Text
|
|---|
| 13385 | uid 6367,0
|
|---|
| 13386 | va (VaSet
|
|---|
| 13387 | isHidden 1
|
|---|
| 13388 | )
|
|---|
| 13389 | xt "150000,74000,153000,75000"
|
|---|
| 13390 | st "denable"
|
|---|
| 13391 | blo "150000,74800"
|
|---|
| 13392 | tm "WireNameMgr"
|
|---|
| 13393 | )
|
|---|
| 13394 | )
|
|---|
| 13395 | on &287
|
|---|
| 13396 | )
|
|---|
| 13397 | *418 (Wire
|
|---|
| 13398 | uid 6452,0
|
|---|
| 13399 | shape (OrthoPolyLine
|
|---|
| 13400 | uid 6453,0
|
|---|
| 13401 | va (VaSet
|
|---|
| 13402 | vasetType 3
|
|---|
| 13403 | )
|
|---|
| 13404 | xt "148750,76000,153000,76000"
|
|---|
| 13405 | pts [
|
|---|
| 13406 | "148750,76000"
|
|---|
| 13407 | "153000,76000"
|
|---|
| 13408 | ]
|
|---|
| 13409 | )
|
|---|
| 13410 | start &95
|
|---|
| 13411 | sat 32
|
|---|
| 13412 | eat 16
|
|---|
| 13413 | stc 0
|
|---|
| 13414 | st 0
|
|---|
| 13415 | sf 1
|
|---|
| 13416 | si 0
|
|---|
| 13417 | tg (WTG
|
|---|
| 13418 | uid 6456,0
|
|---|
| 13419 | ps "ConnStartEndStrategy"
|
|---|
| 13420 | stg "STSignalDisplayStrategy"
|
|---|
| 13421 | f (Text
|
|---|
| 13422 | uid 6457,0
|
|---|
| 13423 | va (VaSet
|
|---|
| 13424 | isHidden 1
|
|---|
| 13425 | )
|
|---|
| 13426 | xt "150000,75000,155400,76000"
|
|---|
| 13427 | st "dwrite_enable"
|
|---|
| 13428 | blo "150000,75800"
|
|---|
| 13429 | tm "WireNameMgr"
|
|---|
| 13430 | )
|
|---|
| 13431 | )
|
|---|
| 13432 | on &289
|
|---|
| 13433 | )
|
|---|
| 13434 | *419 (Wire
|
|---|
| 13435 | uid 6540,0
|
|---|
| 13436 | shape (OrthoPolyLine
|
|---|
| 13437 | uid 6541,0
|
|---|
| 13438 | va (VaSet
|
|---|
| 13439 | vasetType 3
|
|---|
| 13440 | )
|
|---|
| 13441 | xt "7000,59000,18250,59000"
|
|---|
| 13442 | pts [
|
|---|
| 13443 | "7000,59000"
|
|---|
| 13444 | "18250,59000"
|
|---|
| 13445 | ]
|
|---|
| 13446 | )
|
|---|
| 13447 | start &294
|
|---|
| 13448 | end &41
|
|---|
| 13449 | sat 32
|
|---|
| 13450 | eat 32
|
|---|
| 13451 | stc 0
|
|---|
| 13452 | st 0
|
|---|
| 13453 | sf 1
|
|---|
| 13454 | si 0
|
|---|
| 13455 | tg (WTG
|
|---|
| 13456 | uid 6542,0
|
|---|
| 13457 | ps "ConnStartEndStrategy"
|
|---|
| 13458 | stg "STSignalDisplayStrategy"
|
|---|
| 13459 | f (Text
|
|---|
| 13460 | uid 6543,0
|
|---|
| 13461 | va (VaSet
|
|---|
| 13462 | )
|
|---|
| 13463 | xt "7000,58000,9400,59000"
|
|---|
| 13464 | st "dwrite"
|
|---|
| 13465 | blo "7000,58800"
|
|---|
| 13466 | tm "WireNameMgr"
|
|---|
| 13467 | )
|
|---|
| 13468 | )
|
|---|
| 13469 | on &308
|
|---|
| 13470 | )
|
|---|
| 13471 | *420 (Wire
|
|---|
| 13472 | uid 6548,0
|
|---|
| 13473 | shape (OrthoPolyLine
|
|---|
| 13474 | uid 6549,0
|
|---|
| 13475 | va (VaSet
|
|---|
| 13476 | vasetType 3
|
|---|
| 13477 | )
|
|---|
| 13478 | xt "7000,61000,15000,61000"
|
|---|
| 13479 | pts [
|
|---|
| 13480 | "7000,61000"
|
|---|
| 13481 | "15000,61000"
|
|---|
| 13482 | ]
|
|---|
| 13483 | )
|
|---|
| 13484 | start &296
|
|---|
| 13485 | sat 32
|
|---|
| 13486 | eat 16
|
|---|
| 13487 | stc 0
|
|---|
| 13488 | st 0
|
|---|
| 13489 | sf 1
|
|---|
| 13490 | si 0
|
|---|
| 13491 | tg (WTG
|
|---|
| 13492 | uid 6552,0
|
|---|
| 13493 | ps "ConnStartEndStrategy"
|
|---|
| 13494 | stg "STSignalDisplayStrategy"
|
|---|
| 13495 | f (Text
|
|---|
| 13496 | uid 6553,0
|
|---|
| 13497 | va (VaSet
|
|---|
| 13498 | )
|
|---|
| 13499 | xt "7000,60000,12400,61000"
|
|---|
| 13500 | st "dwrite_enable"
|
|---|
| 13501 | blo "7000,60800"
|
|---|
| 13502 | tm "WireNameMgr"
|
|---|
| 13503 | )
|
|---|
| 13504 | )
|
|---|
| 13505 | on &289
|
|---|
| 13506 | )
|
|---|
| 13507 | *421 (Wire
|
|---|
| 13508 | uid 8416,0
|
|---|
| 13509 | shape (OrthoPolyLine
|
|---|
| 13510 | uid 8417,0
|
|---|
| 13511 | va (VaSet
|
|---|
| 13512 | vasetType 3
|
|---|
| 13513 | )
|
|---|
| 13514 | xt "103750,75000,126250,75000"
|
|---|
| 13515 | pts [
|
|---|
| 13516 | "103750,75000"
|
|---|
| 13517 | "126250,75000"
|
|---|
| 13518 | ]
|
|---|
| 13519 | )
|
|---|
| 13520 | start &128
|
|---|
| 13521 | end &96
|
|---|
| 13522 | sat 32
|
|---|
| 13523 | eat 32
|
|---|
| 13524 | st 0
|
|---|
| 13525 | sf 1
|
|---|
| 13526 | si 0
|
|---|
| 13527 | tg (WTG
|
|---|
| 13528 | uid 8418,0
|
|---|
| 13529 | ps "ConnStartEndStrategy"
|
|---|
| 13530 | stg "STSignalDisplayStrategy"
|
|---|
| 13531 | f (Text
|
|---|
| 13532 | uid 8419,0
|
|---|
| 13533 | va (VaSet
|
|---|
| 13534 | )
|
|---|
| 13535 | xt "104000,74000,107000,75000"
|
|---|
| 13536 | st "wiz_ack"
|
|---|
| 13537 | blo "104000,74800"
|
|---|
| 13538 | tm "WireNameMgr"
|
|---|
| 13539 | )
|
|---|
| 13540 | )
|
|---|
| 13541 | on &320
|
|---|
| 13542 | )
|
|---|
| 13543 | ]
|
|---|
| 13544 | bg "65535,65535,65535"
|
|---|
| 13545 | grid (Grid
|
|---|
| 13546 | origin "0,0"
|
|---|
| 13547 | isVisible 1
|
|---|
| 13548 | isActive 1
|
|---|
| 13549 | xSpacing 1000
|
|---|
| 13550 | xySpacing 1000
|
|---|
| 13551 | xShown 1
|
|---|
| 13552 | yShown 1
|
|---|
| 13553 | color "26368,26368,26368"
|
|---|
| 13554 | )
|
|---|
| 13555 | packageList *422 (PackageList
|
|---|
| 13556 | uid 41,0
|
|---|
| 13557 | stg "VerticalLayoutStrategy"
|
|---|
| 13558 | textVec [
|
|---|
| 13559 | *423 (Text
|
|---|
| 13560 | uid 42,0
|
|---|
| 13561 | va (VaSet
|
|---|
| 13562 | font "arial,8,1"
|
|---|
| 13563 | )
|
|---|
| 13564 | xt "-87000,1000,-81600,2000"
|
|---|
| 13565 | st "Package List"
|
|---|
| 13566 | blo "-87000,1800"
|
|---|
| 13567 | )
|
|---|
| 13568 | *424 (MLText
|
|---|
| 13569 | uid 43,0
|
|---|
| 13570 | va (VaSet
|
|---|
| 13571 | )
|
|---|
| 13572 | xt "-87000,2000,-72600,14000"
|
|---|
| 13573 | st "library ieee;
|
|---|
| 13574 | use ieee.std_logic_1164.all;
|
|---|
| 13575 | use IEEE.STD_LOGIC_ARITH.all;
|
|---|
| 13576 | use ieee.STD_LOGIC_UNSIGNED.all;
|
|---|
| 13577 |
|
|---|
| 13578 | library fact_fad_lib;
|
|---|
| 13579 | use fact_fad_lib.fad_definitions.all;
|
|---|
| 13580 |
|
|---|
| 13581 | library UNISIM;
|
|---|
| 13582 | use UNISIM.VComponents.all;
|
|---|
| 13583 | USE IEEE.NUMERIC_STD.all;
|
|---|
| 13584 | USE IEEE.std_logic_signed.all;"
|
|---|
| 13585 | tm "PackageList"
|
|---|
| 13586 | )
|
|---|
| 13587 | ]
|
|---|
| 13588 | )
|
|---|
| 13589 | compDirBlock (MlTextGroup
|
|---|
| 13590 | uid 44,0
|
|---|
| 13591 | stg "VerticalLayoutStrategy"
|
|---|
| 13592 | textVec [
|
|---|
| 13593 | *425 (Text
|
|---|
| 13594 | uid 45,0
|
|---|
| 13595 | va (VaSet
|
|---|
| 13596 | isHidden 1
|
|---|
| 13597 | font "Arial,8,1"
|
|---|
| 13598 | )
|
|---|
| 13599 | xt "20000,0,28100,1000"
|
|---|
| 13600 | st "Compiler Directives"
|
|---|
| 13601 | blo "20000,800"
|
|---|
| 13602 | )
|
|---|
| 13603 | *426 (Text
|
|---|
| 13604 | uid 46,0
|
|---|
| 13605 | va (VaSet
|
|---|
| 13606 | isHidden 1
|
|---|
| 13607 | font "Arial,8,1"
|
|---|
| 13608 | )
|
|---|
| 13609 | xt "20000,1000,29600,2000"
|
|---|
| 13610 | st "Pre-module directives:"
|
|---|
| 13611 | blo "20000,1800"
|
|---|
| 13612 | )
|
|---|
| 13613 | *427 (MLText
|
|---|
| 13614 | uid 47,0
|
|---|
| 13615 | va (VaSet
|
|---|
| 13616 | isHidden 1
|
|---|
| 13617 | )
|
|---|
| 13618 | xt "20000,2000,27500,4000"
|
|---|
| 13619 | st "`resetall
|
|---|
| 13620 | `timescale 1ns/10ps"
|
|---|
| 13621 | tm "BdCompilerDirectivesTextMgr"
|
|---|
| 13622 | )
|
|---|
| 13623 | *428 (Text
|
|---|
| 13624 | uid 48,0
|
|---|
| 13625 | va (VaSet
|
|---|
| 13626 | isHidden 1
|
|---|
| 13627 | font "Arial,8,1"
|
|---|
| 13628 | )
|
|---|
| 13629 | xt "20000,4000,30100,5000"
|
|---|
| 13630 | st "Post-module directives:"
|
|---|
| 13631 | blo "20000,4800"
|
|---|
| 13632 | )
|
|---|
| 13633 | *429 (MLText
|
|---|
| 13634 | uid 49,0
|
|---|
| 13635 | va (VaSet
|
|---|
| 13636 | isHidden 1
|
|---|
| 13637 | )
|
|---|
| 13638 | xt "20000,0,20000,0"
|
|---|
| 13639 | tm "BdCompilerDirectivesTextMgr"
|
|---|
| 13640 | )
|
|---|
| 13641 | *430 (Text
|
|---|
| 13642 | uid 50,0
|
|---|
| 13643 | va (VaSet
|
|---|
| 13644 | isHidden 1
|
|---|
| 13645 | font "Arial,8,1"
|
|---|
| 13646 | )
|
|---|
| 13647 | xt "20000,5000,29900,6000"
|
|---|
| 13648 | st "End-module directives:"
|
|---|
| 13649 | blo "20000,5800"
|
|---|
| 13650 | )
|
|---|
| 13651 | *431 (MLText
|
|---|
| 13652 | uid 51,0
|
|---|
| 13653 | va (VaSet
|
|---|
| 13654 | isHidden 1
|
|---|
| 13655 | )
|
|---|
| 13656 | xt "20000,6000,20000,6000"
|
|---|
| 13657 | tm "BdCompilerDirectivesTextMgr"
|
|---|
| 13658 | )
|
|---|
| 13659 | ]
|
|---|
| 13660 | associable 1
|
|---|
| 13661 | )
|
|---|
| 13662 | windowSize "0,0,1281,1024"
|
|---|
| 13663 | viewArea "63050,40700,132015,97575"
|
|---|
| 13664 | cachedDiagramExtent "-87000,0,162300,301700"
|
|---|
| 13665 | pageSetupInfo (PageSetupInfo
|
|---|
| 13666 | ptrCmd "eDocPrintPro,winspool,"
|
|---|
| 13667 | fileName "eDocPort"
|
|---|
| 13668 | toPrinter 1
|
|---|
| 13669 | colour 1
|
|---|
| 13670 | xMargin 0
|
|---|
| 13671 | yMargin 0
|
|---|
| 13672 | paperWidth 1523
|
|---|
| 13673 | paperHeight 1077
|
|---|
| 13674 | windowsPaperWidth 1523
|
|---|
| 13675 | windowsPaperHeight 1077
|
|---|
| 13676 | paperType "A3"
|
|---|
| 13677 | windowsPaperName "A3"
|
|---|
| 13678 | windowsPaperType 8
|
|---|
| 13679 | useAdjustTo 0
|
|---|
| 13680 | exportedDirectories [
|
|---|
| 13681 | "$HDS_PROJECT_DIR/HTMLExport"
|
|---|
| 13682 | ]
|
|---|
| 13683 | boundaryWidth 0
|
|---|
| 13684 | exportStdIncludeRefs 1
|
|---|
| 13685 | exportStdPackageRefs 1
|
|---|
| 13686 | )
|
|---|
| 13687 | hasePageBreakOrigin 1
|
|---|
| 13688 | pageBreakOrigin "-73000,0"
|
|---|
| 13689 | lastUid 8421,0
|
|---|
| 13690 | defaultCommentText (CommentText
|
|---|
| 13691 | shape (Rectangle
|
|---|
| 13692 | layer 0
|
|---|
| 13693 | va (VaSet
|
|---|
| 13694 | vasetType 1
|
|---|
| 13695 | fg "65280,65280,46080"
|
|---|
| 13696 | lineColor "0,0,32768"
|
|---|
| 13697 | )
|
|---|
| 13698 | xt "0,0,15000,5000"
|
|---|
| 13699 | )
|
|---|
| 13700 | text (MLText
|
|---|
| 13701 | va (VaSet
|
|---|
| 13702 | fg "0,0,32768"
|
|---|
| 13703 | )
|
|---|
| 13704 | xt "200,200,2000,1200"
|
|---|
| 13705 | st "
|
|---|
| 13706 | Text
|
|---|
| 13707 | "
|
|---|
| 13708 | tm "CommentText"
|
|---|
| 13709 | wrapOption 3
|
|---|
| 13710 | visibleHeight 4600
|
|---|
| 13711 | visibleWidth 14600
|
|---|
| 13712 | )
|
|---|
| 13713 | )
|
|---|
| 13714 | defaultPanel (Panel
|
|---|
| 13715 | shape (RectFrame
|
|---|
| 13716 | va (VaSet
|
|---|
| 13717 | vasetType 1
|
|---|
| 13718 | fg "65535,65535,65535"
|
|---|
| 13719 | lineColor "32768,0,0"
|
|---|
| 13720 | lineWidth 3
|
|---|
| 13721 | )
|
|---|
| 13722 | xt "0,0,20000,20000"
|
|---|
| 13723 | )
|
|---|
| 13724 | title (TextAssociate
|
|---|
| 13725 | ps "TopLeftStrategy"
|
|---|
| 13726 | text (Text
|
|---|
| 13727 | va (VaSet
|
|---|
| 13728 | font "Arial,8,1"
|
|---|
| 13729 | )
|
|---|
| 13730 | xt "1000,1000,3800,2000"
|
|---|
| 13731 | st "Panel0"
|
|---|
| 13732 | blo "1000,1800"
|
|---|
| 13733 | tm "PanelText"
|
|---|
| 13734 | )
|
|---|
| 13735 | )
|
|---|
| 13736 | )
|
|---|
| 13737 | defaultBlk (Blk
|
|---|
| 13738 | shape (Rectangle
|
|---|
| 13739 | va (VaSet
|
|---|
| 13740 | vasetType 1
|
|---|
| 13741 | fg "39936,56832,65280"
|
|---|
| 13742 | lineColor "0,0,32768"
|
|---|
| 13743 | lineWidth 2
|
|---|
| 13744 | )
|
|---|
| 13745 | xt "0,0,8000,10000"
|
|---|
| 13746 | )
|
|---|
| 13747 | ttg (MlTextGroup
|
|---|
| 13748 | ps "CenterOffsetStrategy"
|
|---|
| 13749 | stg "VerticalLayoutStrategy"
|
|---|
| 13750 | textVec [
|
|---|
| 13751 | *432 (Text
|
|---|
| 13752 | va (VaSet
|
|---|
| 13753 | font "Arial,8,1"
|
|---|
| 13754 | )
|
|---|
| 13755 | xt "2200,3500,5800,4500"
|
|---|
| 13756 | st "<library>"
|
|---|
| 13757 | blo "2200,4300"
|
|---|
| 13758 | tm "BdLibraryNameMgr"
|
|---|
| 13759 | )
|
|---|
| 13760 | *433 (Text
|
|---|
| 13761 | va (VaSet
|
|---|
| 13762 | font "Arial,8,1"
|
|---|
| 13763 | )
|
|---|
| 13764 | xt "2200,4500,5600,5500"
|
|---|
| 13765 | st "<block>"
|
|---|
| 13766 | blo "2200,5300"
|
|---|
| 13767 | tm "BlkNameMgr"
|
|---|
| 13768 | )
|
|---|
| 13769 | *434 (Text
|
|---|
| 13770 | va (VaSet
|
|---|
| 13771 | font "Arial,8,1"
|
|---|
| 13772 | )
|
|---|
| 13773 | xt "2200,5500,4000,6500"
|
|---|
| 13774 | st "U_0"
|
|---|
| 13775 | blo "2200,6300"
|
|---|
| 13776 | tm "InstanceNameMgr"
|
|---|
| 13777 | )
|
|---|
| 13778 | ]
|
|---|
| 13779 | )
|
|---|
| 13780 | ga (GenericAssociation
|
|---|
| 13781 | ps "EdgeToEdgeStrategy"
|
|---|
| 13782 | matrix (Matrix
|
|---|
| 13783 | text (MLText
|
|---|
| 13784 | va (VaSet
|
|---|
| 13785 | font "Courier New,8,0"
|
|---|
| 13786 | )
|
|---|
| 13787 | xt "2200,13500,2200,13500"
|
|---|
| 13788 | )
|
|---|
| 13789 | header ""
|
|---|
| 13790 | )
|
|---|
| 13791 | elements [
|
|---|
| 13792 | ]
|
|---|
| 13793 | )
|
|---|
| 13794 | viewicon (ZoomableIcon
|
|---|
| 13795 | sl 0
|
|---|
| 13796 | va (VaSet
|
|---|
| 13797 | vasetType 1
|
|---|
| 13798 | fg "49152,49152,49152"
|
|---|
| 13799 | )
|
|---|
| 13800 | xt "0,0,1500,1500"
|
|---|
| 13801 | iconName "UnknownFile.png"
|
|---|
| 13802 | iconMaskName "UnknownFile.msk"
|
|---|
| 13803 | )
|
|---|
| 13804 | viewiconposition 0
|
|---|
| 13805 | )
|
|---|
| 13806 | defaultMWComponent (MWC
|
|---|
| 13807 | shape (Rectangle
|
|---|
| 13808 | va (VaSet
|
|---|
| 13809 | vasetType 1
|
|---|
| 13810 | fg "0,65535,0"
|
|---|
| 13811 | lineColor "0,32896,0"
|
|---|
| 13812 | lineWidth 2
|
|---|
| 13813 | )
|
|---|
| 13814 | xt "0,0,8000,10000"
|
|---|
| 13815 | )
|
|---|
| 13816 | ttg (MlTextGroup
|
|---|
| 13817 | ps "CenterOffsetStrategy"
|
|---|
| 13818 | stg "VerticalLayoutStrategy"
|
|---|
| 13819 | textVec [
|
|---|
| 13820 | *435 (Text
|
|---|
| 13821 | va (VaSet
|
|---|
| 13822 | font "Arial,8,1"
|
|---|
| 13823 | )
|
|---|
| 13824 | xt "550,3500,3450,4500"
|
|---|
| 13825 | st "Library"
|
|---|
| 13826 | blo "550,4300"
|
|---|
| 13827 | )
|
|---|
| 13828 | *436 (Text
|
|---|
| 13829 | va (VaSet
|
|---|
| 13830 | font "Arial,8,1"
|
|---|
| 13831 | )
|
|---|
| 13832 | xt "550,4500,7450,5500"
|
|---|
| 13833 | st "MWComponent"
|
|---|
| 13834 | blo "550,5300"
|
|---|
| 13835 | )
|
|---|
| 13836 | *437 (Text
|
|---|
| 13837 | va (VaSet
|
|---|
| 13838 | font "Arial,8,1"
|
|---|
| 13839 | )
|
|---|
| 13840 | xt "550,5500,2350,6500"
|
|---|
| 13841 | st "U_0"
|
|---|
| 13842 | blo "550,6300"
|
|---|
| 13843 | tm "InstanceNameMgr"
|
|---|
| 13844 | )
|
|---|
| 13845 | ]
|
|---|
| 13846 | )
|
|---|
| 13847 | ga (GenericAssociation
|
|---|
| 13848 | ps "EdgeToEdgeStrategy"
|
|---|
| 13849 | matrix (Matrix
|
|---|
| 13850 | text (MLText
|
|---|
| 13851 | va (VaSet
|
|---|
| 13852 | font "Courier New,8,0"
|
|---|
| 13853 | )
|
|---|
| 13854 | xt "-6450,1500,-6450,1500"
|
|---|
| 13855 | )
|
|---|
| 13856 | header ""
|
|---|
| 13857 | )
|
|---|
| 13858 | elements [
|
|---|
| 13859 | ]
|
|---|
| 13860 | )
|
|---|
| 13861 | portVis (PortSigDisplay
|
|---|
| 13862 | )
|
|---|
| 13863 | prms (Property
|
|---|
| 13864 | pclass "params"
|
|---|
| 13865 | pname "params"
|
|---|
| 13866 | ptn "String"
|
|---|
| 13867 | )
|
|---|
| 13868 | visOptions (mwParamsVisibilityOptions
|
|---|
| 13869 | )
|
|---|
| 13870 | )
|
|---|
| 13871 | defaultSaComponent (SaComponent
|
|---|
| 13872 | shape (Rectangle
|
|---|
| 13873 | va (VaSet
|
|---|
| 13874 | vasetType 1
|
|---|
| 13875 | fg "0,65535,0"
|
|---|
| 13876 | lineColor "0,32896,0"
|
|---|
| 13877 | lineWidth 2
|
|---|
| 13878 | )
|
|---|
| 13879 | xt "0,0,8000,10000"
|
|---|
| 13880 | )
|
|---|
| 13881 | ttg (MlTextGroup
|
|---|
| 13882 | ps "CenterOffsetStrategy"
|
|---|
| 13883 | stg "VerticalLayoutStrategy"
|
|---|
| 13884 | textVec [
|
|---|
| 13885 | *438 (Text
|
|---|
| 13886 | va (VaSet
|
|---|
| 13887 | font "Arial,8,1"
|
|---|
| 13888 | )
|
|---|
| 13889 | xt "900,3500,3800,4500"
|
|---|
| 13890 | st "Library"
|
|---|
| 13891 | blo "900,4300"
|
|---|
| 13892 | tm "BdLibraryNameMgr"
|
|---|
| 13893 | )
|
|---|
| 13894 | *439 (Text
|
|---|
| 13895 | va (VaSet
|
|---|
| 13896 | font "Arial,8,1"
|
|---|
| 13897 | )
|
|---|
| 13898 | xt "900,4500,7100,5500"
|
|---|
| 13899 | st "SaComponent"
|
|---|
| 13900 | blo "900,5300"
|
|---|
| 13901 | tm "CptNameMgr"
|
|---|
| 13902 | )
|
|---|
| 13903 | *440 (Text
|
|---|
| 13904 | va (VaSet
|
|---|
| 13905 | font "Arial,8,1"
|
|---|
| 13906 | )
|
|---|
| 13907 | xt "900,5500,2700,6500"
|
|---|
| 13908 | st "U_0"
|
|---|
| 13909 | blo "900,6300"
|
|---|
| 13910 | tm "InstanceNameMgr"
|
|---|
| 13911 | )
|
|---|
| 13912 | ]
|
|---|
| 13913 | )
|
|---|
| 13914 | ga (GenericAssociation
|
|---|
| 13915 | ps "EdgeToEdgeStrategy"
|
|---|
| 13916 | matrix (Matrix
|
|---|
| 13917 | text (MLText
|
|---|
| 13918 | va (VaSet
|
|---|
| 13919 | font "Courier New,8,0"
|
|---|
| 13920 | )
|
|---|
| 13921 | xt "-6100,1500,-6100,1500"
|
|---|
| 13922 | )
|
|---|
| 13923 | header ""
|
|---|
| 13924 | )
|
|---|
| 13925 | elements [
|
|---|
| 13926 | ]
|
|---|
| 13927 | )
|
|---|
| 13928 | viewicon (ZoomableIcon
|
|---|
| 13929 | sl 0
|
|---|
| 13930 | va (VaSet
|
|---|
| 13931 | vasetType 1
|
|---|
| 13932 | fg "49152,49152,49152"
|
|---|
| 13933 | )
|
|---|
| 13934 | xt "0,0,1500,1500"
|
|---|
| 13935 | iconName "UnknownFile.png"
|
|---|
| 13936 | iconMaskName "UnknownFile.msk"
|
|---|
| 13937 | )
|
|---|
| 13938 | viewiconposition 0
|
|---|
| 13939 | portVis (PortSigDisplay
|
|---|
| 13940 | )
|
|---|
| 13941 | archFileType "UNKNOWN"
|
|---|
| 13942 | )
|
|---|
| 13943 | defaultVhdlComponent (VhdlComponent
|
|---|
| 13944 | shape (Rectangle
|
|---|
| 13945 | va (VaSet
|
|---|
| 13946 | vasetType 1
|
|---|
| 13947 | fg "0,65535,0"
|
|---|
| 13948 | lineColor "0,32896,0"
|
|---|
| 13949 | lineWidth 2
|
|---|
| 13950 | )
|
|---|
| 13951 | xt "0,0,8000,10000"
|
|---|
| 13952 | )
|
|---|
| 13953 | ttg (MlTextGroup
|
|---|
| 13954 | ps "CenterOffsetStrategy"
|
|---|
| 13955 | stg "VerticalLayoutStrategy"
|
|---|
| 13956 | textVec [
|
|---|
| 13957 | *441 (Text
|
|---|
| 13958 | va (VaSet
|
|---|
| 13959 | font "Arial,8,1"
|
|---|
| 13960 | )
|
|---|
| 13961 | xt "500,3500,3400,4500"
|
|---|
| 13962 | st "Library"
|
|---|
| 13963 | blo "500,4300"
|
|---|
| 13964 | )
|
|---|
| 13965 | *442 (Text
|
|---|
| 13966 | va (VaSet
|
|---|
| 13967 | font "Arial,8,1"
|
|---|
| 13968 | )
|
|---|
| 13969 | xt "500,4500,7500,5500"
|
|---|
| 13970 | st "VhdlComponent"
|
|---|
| 13971 | blo "500,5300"
|
|---|
| 13972 | )
|
|---|
| 13973 | *443 (Text
|
|---|
| 13974 | va (VaSet
|
|---|
| 13975 | font "Arial,8,1"
|
|---|
| 13976 | )
|
|---|
| 13977 | xt "500,5500,2300,6500"
|
|---|
| 13978 | st "U_0"
|
|---|
| 13979 | blo "500,6300"
|
|---|
| 13980 | tm "InstanceNameMgr"
|
|---|
| 13981 | )
|
|---|
| 13982 | ]
|
|---|
| 13983 | )
|
|---|
| 13984 | ga (GenericAssociation
|
|---|
| 13985 | ps "EdgeToEdgeStrategy"
|
|---|
| 13986 | matrix (Matrix
|
|---|
| 13987 | text (MLText
|
|---|
| 13988 | va (VaSet
|
|---|
| 13989 | font "Courier New,8,0"
|
|---|
| 13990 | )
|
|---|
| 13991 | xt "-6500,1500,-6500,1500"
|
|---|
| 13992 | )
|
|---|
| 13993 | header ""
|
|---|
| 13994 | )
|
|---|
| 13995 | elements [
|
|---|
| 13996 | ]
|
|---|
| 13997 | )
|
|---|
| 13998 | portVis (PortSigDisplay
|
|---|
| 13999 | )
|
|---|
| 14000 | entityPath ""
|
|---|
| 14001 | archName ""
|
|---|
| 14002 | archPath ""
|
|---|
| 14003 | )
|
|---|
| 14004 | defaultVerilogComponent (VerilogComponent
|
|---|
| 14005 | shape (Rectangle
|
|---|
| 14006 | va (VaSet
|
|---|
| 14007 | vasetType 1
|
|---|
| 14008 | fg "0,65535,0"
|
|---|
| 14009 | lineColor "0,32896,0"
|
|---|
| 14010 | lineWidth 2
|
|---|
| 14011 | )
|
|---|
| 14012 | xt "-450,0,8450,10000"
|
|---|
| 14013 | )
|
|---|
| 14014 | ttg (MlTextGroup
|
|---|
| 14015 | ps "CenterOffsetStrategy"
|
|---|
| 14016 | stg "VerticalLayoutStrategy"
|
|---|
| 14017 | textVec [
|
|---|
| 14018 | *444 (Text
|
|---|
| 14019 | va (VaSet
|
|---|
| 14020 | font "Arial,8,1"
|
|---|
| 14021 | )
|
|---|
| 14022 | xt "50,3500,2950,4500"
|
|---|
| 14023 | st "Library"
|
|---|
| 14024 | blo "50,4300"
|
|---|
| 14025 | )
|
|---|
| 14026 | *445 (Text
|
|---|
| 14027 | va (VaSet
|
|---|
| 14028 | font "Arial,8,1"
|
|---|
| 14029 | )
|
|---|
| 14030 | xt "50,4500,7950,5500"
|
|---|
| 14031 | st "VerilogComponent"
|
|---|
| 14032 | blo "50,5300"
|
|---|
| 14033 | )
|
|---|
| 14034 | *446 (Text
|
|---|
| 14035 | va (VaSet
|
|---|
| 14036 | font "Arial,8,1"
|
|---|
| 14037 | )
|
|---|
| 14038 | xt "50,5500,1850,6500"
|
|---|
| 14039 | st "U_0"
|
|---|
| 14040 | blo "50,6300"
|
|---|
| 14041 | tm "InstanceNameMgr"
|
|---|
| 14042 | )
|
|---|
| 14043 | ]
|
|---|
| 14044 | )
|
|---|
| 14045 | ga (GenericAssociation
|
|---|
| 14046 | ps "EdgeToEdgeStrategy"
|
|---|
| 14047 | matrix (Matrix
|
|---|
| 14048 | text (MLText
|
|---|
| 14049 | va (VaSet
|
|---|
| 14050 | font "Courier New,8,0"
|
|---|
| 14051 | )
|
|---|
| 14052 | xt "-6950,1500,-6950,1500"
|
|---|
| 14053 | )
|
|---|
| 14054 | header ""
|
|---|
| 14055 | )
|
|---|
| 14056 | elements [
|
|---|
| 14057 | ]
|
|---|
| 14058 | )
|
|---|
| 14059 | entityPath ""
|
|---|
| 14060 | )
|
|---|
| 14061 | defaultHdlText (HdlText
|
|---|
| 14062 | shape (Rectangle
|
|---|
| 14063 | va (VaSet
|
|---|
| 14064 | vasetType 1
|
|---|
| 14065 | fg "65535,65535,37120"
|
|---|
| 14066 | lineColor "0,0,32768"
|
|---|
| 14067 | lineWidth 2
|
|---|
| 14068 | )
|
|---|
| 14069 | xt "0,0,8000,10000"
|
|---|
| 14070 | )
|
|---|
| 14071 | ttg (MlTextGroup
|
|---|
| 14072 | ps "CenterOffsetStrategy"
|
|---|
| 14073 | stg "VerticalLayoutStrategy"
|
|---|
| 14074 | textVec [
|
|---|
| 14075 | *447 (Text
|
|---|
| 14076 | va (VaSet
|
|---|
| 14077 | font "Arial,8,1"
|
|---|
| 14078 | )
|
|---|
| 14079 | xt "3150,4000,4850,5000"
|
|---|
| 14080 | st "eb1"
|
|---|
| 14081 | blo "3150,4800"
|
|---|
| 14082 | tm "HdlTextNameMgr"
|
|---|
| 14083 | )
|
|---|
| 14084 | *448 (Text
|
|---|
| 14085 | va (VaSet
|
|---|
| 14086 | font "Arial,8,1"
|
|---|
| 14087 | )
|
|---|
| 14088 | xt "3150,5000,3950,6000"
|
|---|
| 14089 | st "1"
|
|---|
| 14090 | blo "3150,5800"
|
|---|
| 14091 | tm "HdlTextNumberMgr"
|
|---|
| 14092 | )
|
|---|
| 14093 | ]
|
|---|
| 14094 | )
|
|---|
| 14095 | viewicon (ZoomableIcon
|
|---|
| 14096 | sl 0
|
|---|
| 14097 | va (VaSet
|
|---|
| 14098 | vasetType 1
|
|---|
| 14099 | fg "49152,49152,49152"
|
|---|
| 14100 | )
|
|---|
| 14101 | xt "0,0,1500,1500"
|
|---|
| 14102 | iconName "UnknownFile.png"
|
|---|
| 14103 | iconMaskName "UnknownFile.msk"
|
|---|
| 14104 | )
|
|---|
| 14105 | viewiconposition 0
|
|---|
| 14106 | )
|
|---|
| 14107 | defaultEmbeddedText (EmbeddedText
|
|---|
| 14108 | commentText (CommentText
|
|---|
| 14109 | ps "CenterOffsetStrategy"
|
|---|
| 14110 | shape (Rectangle
|
|---|
| 14111 | va (VaSet
|
|---|
| 14112 | vasetType 1
|
|---|
| 14113 | fg "65535,65535,65535"
|
|---|
| 14114 | lineColor "0,0,32768"
|
|---|
| 14115 | lineWidth 2
|
|---|
| 14116 | )
|
|---|
| 14117 | xt "0,0,18000,5000"
|
|---|
| 14118 | )
|
|---|
| 14119 | text (MLText
|
|---|
| 14120 | va (VaSet
|
|---|
| 14121 | )
|
|---|
| 14122 | xt "200,200,2000,1200"
|
|---|
| 14123 | st "
|
|---|
| 14124 | Text
|
|---|
| 14125 | "
|
|---|
| 14126 | tm "HdlTextMgr"
|
|---|
| 14127 | wrapOption 3
|
|---|
| 14128 | visibleHeight 4600
|
|---|
| 14129 | visibleWidth 17600
|
|---|
| 14130 | )
|
|---|
| 14131 | )
|
|---|
| 14132 | )
|
|---|
| 14133 | defaultGlobalConnector (GlobalConnector
|
|---|
| 14134 | shape (Circle
|
|---|
| 14135 | va (VaSet
|
|---|
| 14136 | vasetType 1
|
|---|
| 14137 | fg "65535,65535,0"
|
|---|
| 14138 | )
|
|---|
| 14139 | xt "-1000,-1000,1000,1000"
|
|---|
| 14140 | radius 1000
|
|---|
| 14141 | )
|
|---|
| 14142 | name (Text
|
|---|
| 14143 | va (VaSet
|
|---|
| 14144 | font "Arial,8,1"
|
|---|
| 14145 | )
|
|---|
| 14146 | xt "-500,-500,500,500"
|
|---|
| 14147 | st "G"
|
|---|
| 14148 | blo "-500,300"
|
|---|
| 14149 | )
|
|---|
| 14150 | )
|
|---|
| 14151 | defaultRipper (Ripper
|
|---|
| 14152 | ps "OnConnectorStrategy"
|
|---|
| 14153 | shape (Line2D
|
|---|
| 14154 | pts [
|
|---|
| 14155 | "0,0"
|
|---|
| 14156 | "1000,1000"
|
|---|
| 14157 | ]
|
|---|
| 14158 | va (VaSet
|
|---|
| 14159 | vasetType 1
|
|---|
| 14160 | )
|
|---|
| 14161 | xt "0,0,1000,1000"
|
|---|
| 14162 | )
|
|---|
| 14163 | )
|
|---|
| 14164 | defaultBdJunction (BdJunction
|
|---|
| 14165 | ps "OnConnectorStrategy"
|
|---|
| 14166 | shape (Circle
|
|---|
| 14167 | va (VaSet
|
|---|
| 14168 | vasetType 1
|
|---|
| 14169 | )
|
|---|
| 14170 | xt "-400,-400,400,400"
|
|---|
| 14171 | radius 400
|
|---|
| 14172 | )
|
|---|
| 14173 | )
|
|---|
| 14174 | defaultPortIoIn (PortIoIn
|
|---|
| 14175 | shape (CompositeShape
|
|---|
| 14176 | va (VaSet
|
|---|
| 14177 | vasetType 1
|
|---|
| 14178 | fg "0,0,32768"
|
|---|
| 14179 | )
|
|---|
| 14180 | optionalChildren [
|
|---|
| 14181 | (Pentagon
|
|---|
| 14182 | sl 0
|
|---|
| 14183 | ro 270
|
|---|
| 14184 | xt "-2000,-375,-500,375"
|
|---|
| 14185 | )
|
|---|
| 14186 | (Line
|
|---|
| 14187 | sl 0
|
|---|
| 14188 | ro 270
|
|---|
| 14189 | xt "-500,0,0,0"
|
|---|
| 14190 | pts [
|
|---|
| 14191 | "-500,0"
|
|---|
| 14192 | "0,0"
|
|---|
| 14193 | ]
|
|---|
| 14194 | )
|
|---|
| 14195 | ]
|
|---|
| 14196 | )
|
|---|
| 14197 | stc 0
|
|---|
| 14198 | sf 1
|
|---|
| 14199 | tg (WTG
|
|---|
| 14200 | ps "PortIoTextPlaceStrategy"
|
|---|
| 14201 | stg "STSignalDisplayStrategy"
|
|---|
| 14202 | f (Text
|
|---|
| 14203 | va (VaSet
|
|---|
| 14204 | )
|
|---|
| 14205 | xt "-1375,-1000,-1375,-1000"
|
|---|
| 14206 | ju 2
|
|---|
| 14207 | blo "-1375,-1000"
|
|---|
| 14208 | tm "WireNameMgr"
|
|---|
| 14209 | )
|
|---|
| 14210 | )
|
|---|
| 14211 | )
|
|---|
| 14212 | defaultPortIoOut (PortIoOut
|
|---|
| 14213 | shape (CompositeShape
|
|---|
| 14214 | va (VaSet
|
|---|
| 14215 | vasetType 1
|
|---|
| 14216 | fg "0,0,32768"
|
|---|
| 14217 | )
|
|---|
| 14218 | optionalChildren [
|
|---|
| 14219 | (Pentagon
|
|---|
| 14220 | sl 0
|
|---|
| 14221 | ro 270
|
|---|
| 14222 | xt "500,-375,2000,375"
|
|---|
| 14223 | )
|
|---|
| 14224 | (Line
|
|---|
| 14225 | sl 0
|
|---|
| 14226 | ro 270
|
|---|
| 14227 | xt "0,0,500,0"
|
|---|
| 14228 | pts [
|
|---|
| 14229 | "0,0"
|
|---|
| 14230 | "500,0"
|
|---|
| 14231 | ]
|
|---|
| 14232 | )
|
|---|
| 14233 | ]
|
|---|
| 14234 | )
|
|---|
| 14235 | stc 0
|
|---|
| 14236 | sf 1
|
|---|
| 14237 | tg (WTG
|
|---|
| 14238 | ps "PortIoTextPlaceStrategy"
|
|---|
| 14239 | stg "STSignalDisplayStrategy"
|
|---|
| 14240 | f (Text
|
|---|
| 14241 | va (VaSet
|
|---|
| 14242 | )
|
|---|
| 14243 | xt "625,-1000,625,-1000"
|
|---|
| 14244 | blo "625,-1000"
|
|---|
| 14245 | tm "WireNameMgr"
|
|---|
| 14246 | )
|
|---|
| 14247 | )
|
|---|
| 14248 | )
|
|---|
| 14249 | defaultPortIoInOut (PortIoInOut
|
|---|
| 14250 | shape (CompositeShape
|
|---|
| 14251 | va (VaSet
|
|---|
| 14252 | vasetType 1
|
|---|
| 14253 | fg "0,0,32768"
|
|---|
| 14254 | )
|
|---|
| 14255 | optionalChildren [
|
|---|
| 14256 | (Hexagon
|
|---|
| 14257 | sl 0
|
|---|
| 14258 | xt "500,-375,2000,375"
|
|---|
| 14259 | )
|
|---|
| 14260 | (Line
|
|---|
| 14261 | sl 0
|
|---|
| 14262 | xt "0,0,500,0"
|
|---|
| 14263 | pts [
|
|---|
| 14264 | "0,0"
|
|---|
| 14265 | "500,0"
|
|---|
| 14266 | ]
|
|---|
| 14267 | )
|
|---|
| 14268 | ]
|
|---|
| 14269 | )
|
|---|
| 14270 | stc 0
|
|---|
| 14271 | sf 1
|
|---|
| 14272 | tg (WTG
|
|---|
| 14273 | ps "PortIoTextPlaceStrategy"
|
|---|
| 14274 | stg "STSignalDisplayStrategy"
|
|---|
| 14275 | f (Text
|
|---|
| 14276 | va (VaSet
|
|---|
| 14277 | )
|
|---|
| 14278 | xt "0,-375,0,-375"
|
|---|
| 14279 | blo "0,-375"
|
|---|
| 14280 | tm "WireNameMgr"
|
|---|
| 14281 | )
|
|---|
| 14282 | )
|
|---|
| 14283 | )
|
|---|
| 14284 | defaultPortIoBuffer (PortIoBuffer
|
|---|
| 14285 | shape (CompositeShape
|
|---|
| 14286 | va (VaSet
|
|---|
| 14287 | vasetType 1
|
|---|
| 14288 | fg "65535,65535,65535"
|
|---|
| 14289 | lineColor "0,0,32768"
|
|---|
| 14290 | )
|
|---|
| 14291 | optionalChildren [
|
|---|
| 14292 | (Hexagon
|
|---|
| 14293 | sl 0
|
|---|
| 14294 | xt "500,-375,2000,375"
|
|---|
| 14295 | )
|
|---|
| 14296 | (Line
|
|---|
| 14297 | sl 0
|
|---|
| 14298 | xt "0,0,500,0"
|
|---|
| 14299 | pts [
|
|---|
| 14300 | "0,0"
|
|---|
| 14301 | "500,0"
|
|---|
| 14302 | ]
|
|---|
| 14303 | )
|
|---|
| 14304 | ]
|
|---|
| 14305 | )
|
|---|
| 14306 | stc 0
|
|---|
| 14307 | sf 1
|
|---|
| 14308 | tg (WTG
|
|---|
| 14309 | ps "PortIoTextPlaceStrategy"
|
|---|
| 14310 | stg "STSignalDisplayStrategy"
|
|---|
| 14311 | f (Text
|
|---|
| 14312 | va (VaSet
|
|---|
| 14313 | )
|
|---|
| 14314 | xt "0,-375,0,-375"
|
|---|
| 14315 | blo "0,-375"
|
|---|
| 14316 | tm "WireNameMgr"
|
|---|
| 14317 | )
|
|---|
| 14318 | )
|
|---|
| 14319 | )
|
|---|
| 14320 | defaultSignal (Wire
|
|---|
| 14321 | shape (OrthoPolyLine
|
|---|
| 14322 | va (VaSet
|
|---|
| 14323 | vasetType 3
|
|---|
| 14324 | )
|
|---|
| 14325 | pts [
|
|---|
| 14326 | "0,0"
|
|---|
| 14327 | "0,0"
|
|---|
| 14328 | ]
|
|---|
| 14329 | )
|
|---|
| 14330 | ss 0
|
|---|
| 14331 | es 0
|
|---|
| 14332 | sat 32
|
|---|
| 14333 | eat 32
|
|---|
| 14334 | st 0
|
|---|
| 14335 | sf 1
|
|---|
| 14336 | si 0
|
|---|
| 14337 | tg (WTG
|
|---|
| 14338 | ps "ConnStartEndStrategy"
|
|---|
| 14339 | stg "STSignalDisplayStrategy"
|
|---|
| 14340 | f (Text
|
|---|
| 14341 | va (VaSet
|
|---|
| 14342 | )
|
|---|
| 14343 | xt "0,0,1900,1000"
|
|---|
| 14344 | st "sig0"
|
|---|
| 14345 | blo "0,800"
|
|---|
| 14346 | tm "WireNameMgr"
|
|---|
| 14347 | )
|
|---|
| 14348 | )
|
|---|
| 14349 | )
|
|---|
| 14350 | defaultBus (Wire
|
|---|
| 14351 | shape (OrthoPolyLine
|
|---|
| 14352 | va (VaSet
|
|---|
| 14353 | vasetType 3
|
|---|
| 14354 | lineWidth 2
|
|---|
| 14355 | )
|
|---|
| 14356 | pts [
|
|---|
| 14357 | "0,0"
|
|---|
| 14358 | "0,0"
|
|---|
| 14359 | ]
|
|---|
| 14360 | )
|
|---|
| 14361 | ss 0
|
|---|
| 14362 | es 0
|
|---|
| 14363 | sat 32
|
|---|
| 14364 | eat 32
|
|---|
| 14365 | sty 1
|
|---|
| 14366 | st 0
|
|---|
| 14367 | sf 1
|
|---|
| 14368 | si 0
|
|---|
| 14369 | tg (WTG
|
|---|
| 14370 | ps "ConnStartEndStrategy"
|
|---|
| 14371 | stg "STSignalDisplayStrategy"
|
|---|
| 14372 | f (Text
|
|---|
| 14373 | va (VaSet
|
|---|
| 14374 | )
|
|---|
| 14375 | xt "0,0,2400,1000"
|
|---|
| 14376 | st "dbus0"
|
|---|
| 14377 | blo "0,800"
|
|---|
| 14378 | tm "WireNameMgr"
|
|---|
| 14379 | )
|
|---|
| 14380 | )
|
|---|
| 14381 | )
|
|---|
| 14382 | defaultBundle (Bundle
|
|---|
| 14383 | shape (OrthoPolyLine
|
|---|
| 14384 | va (VaSet
|
|---|
| 14385 | vasetType 3
|
|---|
| 14386 | lineColor "32768,0,0"
|
|---|
| 14387 | lineWidth 2
|
|---|
| 14388 | )
|
|---|
| 14389 | pts [
|
|---|
| 14390 | "0,0"
|
|---|
| 14391 | "0,0"
|
|---|
| 14392 | ]
|
|---|
| 14393 | )
|
|---|
| 14394 | ss 0
|
|---|
| 14395 | es 0
|
|---|
| 14396 | sat 32
|
|---|
| 14397 | eat 32
|
|---|
| 14398 | textGroup (BiTextGroup
|
|---|
| 14399 | ps "ConnStartEndStrategy"
|
|---|
| 14400 | stg "VerticalLayoutStrategy"
|
|---|
| 14401 | first (Text
|
|---|
| 14402 | va (VaSet
|
|---|
| 14403 | )
|
|---|
| 14404 | xt "0,0,3000,1000"
|
|---|
| 14405 | st "bundle0"
|
|---|
| 14406 | blo "0,800"
|
|---|
| 14407 | tm "BundleNameMgr"
|
|---|
| 14408 | )
|
|---|
| 14409 | second (MLText
|
|---|
| 14410 | va (VaSet
|
|---|
| 14411 | )
|
|---|
| 14412 | xt "0,1000,1000,2000"
|
|---|
| 14413 | st "()"
|
|---|
| 14414 | tm "BundleContentsMgr"
|
|---|
| 14415 | )
|
|---|
| 14416 | )
|
|---|
| 14417 | bundleNet &0
|
|---|
| 14418 | )
|
|---|
| 14419 | defaultPortMapFrame (PortMapFrame
|
|---|
| 14420 | ps "PortMapFrameStrategy"
|
|---|
| 14421 | shape (RectFrame
|
|---|
| 14422 | va (VaSet
|
|---|
| 14423 | vasetType 1
|
|---|
| 14424 | fg "65535,65535,65535"
|
|---|
| 14425 | lineColor "0,0,32768"
|
|---|
| 14426 | lineWidth 2
|
|---|
| 14427 | )
|
|---|
| 14428 | xt "0,0,10000,12000"
|
|---|
| 14429 | )
|
|---|
| 14430 | portMapText (BiTextGroup
|
|---|
| 14431 | ps "BottomRightOffsetStrategy"
|
|---|
| 14432 | stg "VerticalLayoutStrategy"
|
|---|
| 14433 | first (MLText
|
|---|
| 14434 | va (VaSet
|
|---|
| 14435 | )
|
|---|
| 14436 | )
|
|---|
| 14437 | second (MLText
|
|---|
| 14438 | va (VaSet
|
|---|
| 14439 | )
|
|---|
| 14440 | tm "PortMapTextMgr"
|
|---|
| 14441 | )
|
|---|
| 14442 | )
|
|---|
| 14443 | )
|
|---|
| 14444 | defaultGenFrame (Frame
|
|---|
| 14445 | shape (RectFrame
|
|---|
| 14446 | va (VaSet
|
|---|
| 14447 | vasetType 1
|
|---|
| 14448 | fg "65535,65535,65535"
|
|---|
| 14449 | lineColor "26368,26368,26368"
|
|---|
| 14450 | lineStyle 2
|
|---|
| 14451 | lineWidth 3
|
|---|
| 14452 | )
|
|---|
| 14453 | xt "0,0,20000,20000"
|
|---|
| 14454 | )
|
|---|
| 14455 | title (TextAssociate
|
|---|
| 14456 | ps "TopLeftStrategy"
|
|---|
| 14457 | text (MLText
|
|---|
| 14458 | va (VaSet
|
|---|
| 14459 | )
|
|---|
| 14460 | xt "0,-1100,12600,-100"
|
|---|
| 14461 | st "g0: FOR i IN 0 TO n GENERATE"
|
|---|
| 14462 | tm "FrameTitleTextMgr"
|
|---|
| 14463 | )
|
|---|
| 14464 | )
|
|---|
| 14465 | seqNum (FrameSequenceNumber
|
|---|
| 14466 | ps "TopLeftStrategy"
|
|---|
| 14467 | shape (Rectangle
|
|---|
| 14468 | va (VaSet
|
|---|
| 14469 | vasetType 1
|
|---|
| 14470 | fg "65535,65535,65535"
|
|---|
| 14471 | )
|
|---|
| 14472 | xt "50,50,1250,1450"
|
|---|
| 14473 | )
|
|---|
| 14474 | num (Text
|
|---|
| 14475 | va (VaSet
|
|---|
| 14476 | )
|
|---|
| 14477 | xt "250,250,1050,1250"
|
|---|
| 14478 | st "1"
|
|---|
| 14479 | blo "250,1050"
|
|---|
| 14480 | tm "FrameSeqNumMgr"
|
|---|
| 14481 | )
|
|---|
| 14482 | )
|
|---|
| 14483 | decls (MlTextGroup
|
|---|
| 14484 | ps "BottomRightOffsetStrategy"
|
|---|
| 14485 | stg "VerticalLayoutStrategy"
|
|---|
| 14486 | textVec [
|
|---|
| 14487 | *449 (Text
|
|---|
| 14488 | va (VaSet
|
|---|
| 14489 | font "Arial,8,1"
|
|---|
| 14490 | )
|
|---|
| 14491 | xt "14100,20000,22000,21000"
|
|---|
| 14492 | st "Frame Declarations"
|
|---|
| 14493 | blo "14100,20800"
|
|---|
| 14494 | )
|
|---|
| 14495 | *450 (MLText
|
|---|
| 14496 | va (VaSet
|
|---|
| 14497 | )
|
|---|
| 14498 | xt "14100,21000,14100,21000"
|
|---|
| 14499 | tm "BdFrameDeclTextMgr"
|
|---|
| 14500 | )
|
|---|
| 14501 | ]
|
|---|
| 14502 | )
|
|---|
| 14503 | )
|
|---|
| 14504 | defaultBlockFrame (Frame
|
|---|
| 14505 | shape (RectFrame
|
|---|
| 14506 | va (VaSet
|
|---|
| 14507 | vasetType 1
|
|---|
| 14508 | fg "65535,65535,65535"
|
|---|
| 14509 | lineColor "26368,26368,26368"
|
|---|
| 14510 | lineStyle 1
|
|---|
| 14511 | lineWidth 3
|
|---|
| 14512 | )
|
|---|
| 14513 | xt "0,0,20000,20000"
|
|---|
| 14514 | )
|
|---|
| 14515 | title (TextAssociate
|
|---|
| 14516 | ps "TopLeftStrategy"
|
|---|
| 14517 | text (MLText
|
|---|
| 14518 | va (VaSet
|
|---|
| 14519 | )
|
|---|
| 14520 | xt "0,-1100,7400,-100"
|
|---|
| 14521 | st "b0: BLOCK (guard)"
|
|---|
| 14522 | tm "FrameTitleTextMgr"
|
|---|
| 14523 | )
|
|---|
| 14524 | )
|
|---|
| 14525 | seqNum (FrameSequenceNumber
|
|---|
| 14526 | ps "TopLeftStrategy"
|
|---|
| 14527 | shape (Rectangle
|
|---|
| 14528 | va (VaSet
|
|---|
| 14529 | vasetType 1
|
|---|
| 14530 | fg "65535,65535,65535"
|
|---|
| 14531 | )
|
|---|
| 14532 | xt "50,50,1250,1450"
|
|---|
| 14533 | )
|
|---|
| 14534 | num (Text
|
|---|
| 14535 | va (VaSet
|
|---|
| 14536 | )
|
|---|
| 14537 | xt "250,250,1050,1250"
|
|---|
| 14538 | st "1"
|
|---|
| 14539 | blo "250,1050"
|
|---|
| 14540 | tm "FrameSeqNumMgr"
|
|---|
| 14541 | )
|
|---|
| 14542 | )
|
|---|
| 14543 | decls (MlTextGroup
|
|---|
| 14544 | ps "BottomRightOffsetStrategy"
|
|---|
| 14545 | stg "VerticalLayoutStrategy"
|
|---|
| 14546 | textVec [
|
|---|
| 14547 | *451 (Text
|
|---|
| 14548 | va (VaSet
|
|---|
| 14549 | font "Arial,8,1"
|
|---|
| 14550 | )
|
|---|
| 14551 | xt "14100,20000,22000,21000"
|
|---|
| 14552 | st "Frame Declarations"
|
|---|
| 14553 | blo "14100,20800"
|
|---|
| 14554 | )
|
|---|
| 14555 | *452 (MLText
|
|---|
| 14556 | va (VaSet
|
|---|
| 14557 | )
|
|---|
| 14558 | xt "14100,21000,14100,21000"
|
|---|
| 14559 | tm "BdFrameDeclTextMgr"
|
|---|
| 14560 | )
|
|---|
| 14561 | ]
|
|---|
| 14562 | )
|
|---|
| 14563 | style 3
|
|---|
| 14564 | )
|
|---|
| 14565 | defaultSaCptPort (CptPort
|
|---|
| 14566 | ps "OnEdgeStrategy"
|
|---|
| 14567 | shape (Triangle
|
|---|
| 14568 | ro 90
|
|---|
| 14569 | va (VaSet
|
|---|
| 14570 | vasetType 1
|
|---|
| 14571 | fg "0,65535,0"
|
|---|
| 14572 | )
|
|---|
| 14573 | xt "0,0,750,750"
|
|---|
| 14574 | )
|
|---|
| 14575 | tg (CPTG
|
|---|
| 14576 | ps "CptPortTextPlaceStrategy"
|
|---|
| 14577 | stg "VerticalLayoutStrategy"
|
|---|
| 14578 | f (Text
|
|---|
| 14579 | va (VaSet
|
|---|
| 14580 | )
|
|---|
| 14581 | xt "0,750,1800,1750"
|
|---|
| 14582 | st "Port"
|
|---|
| 14583 | blo "0,1550"
|
|---|
| 14584 | )
|
|---|
| 14585 | )
|
|---|
| 14586 | thePort (LogicalPort
|
|---|
| 14587 | decl (Decl
|
|---|
| 14588 | n "Port"
|
|---|
| 14589 | t ""
|
|---|
| 14590 | o 0
|
|---|
| 14591 | )
|
|---|
| 14592 | )
|
|---|
| 14593 | )
|
|---|
| 14594 | defaultSaCptPortBuffer (CptPort
|
|---|
| 14595 | ps "OnEdgeStrategy"
|
|---|
| 14596 | shape (Diamond
|
|---|
| 14597 | va (VaSet
|
|---|
| 14598 | vasetType 1
|
|---|
| 14599 | fg "65535,65535,65535"
|
|---|
| 14600 | )
|
|---|
| 14601 | xt "0,0,750,750"
|
|---|
| 14602 | )
|
|---|
| 14603 | tg (CPTG
|
|---|
| 14604 | ps "CptPortTextPlaceStrategy"
|
|---|
| 14605 | stg "VerticalLayoutStrategy"
|
|---|
| 14606 | f (Text
|
|---|
| 14607 | va (VaSet
|
|---|
| 14608 | )
|
|---|
| 14609 | xt "0,750,1800,1750"
|
|---|
| 14610 | st "Port"
|
|---|
| 14611 | blo "0,1550"
|
|---|
| 14612 | )
|
|---|
| 14613 | )
|
|---|
| 14614 | thePort (LogicalPort
|
|---|
| 14615 | m 3
|
|---|
| 14616 | decl (Decl
|
|---|
| 14617 | n "Port"
|
|---|
| 14618 | t ""
|
|---|
| 14619 | o 0
|
|---|
| 14620 | )
|
|---|
| 14621 | )
|
|---|
| 14622 | )
|
|---|
| 14623 | defaultDeclText (MLText
|
|---|
| 14624 | va (VaSet
|
|---|
| 14625 | font "Courier New,8,0"
|
|---|
| 14626 | )
|
|---|
| 14627 | )
|
|---|
| 14628 | archDeclarativeBlock (BdArchDeclBlock
|
|---|
| 14629 | uid 1,0
|
|---|
| 14630 | stg "BdArchDeclBlockLS"
|
|---|
| 14631 | declLabel (Text
|
|---|
| 14632 | uid 2,0
|
|---|
| 14633 | va (VaSet
|
|---|
| 14634 | font "Arial,8,1"
|
|---|
| 14635 | )
|
|---|
| 14636 | xt "-87000,16200,-81600,17200"
|
|---|
| 14637 | st "Declarations"
|
|---|
| 14638 | blo "-87000,17000"
|
|---|
| 14639 | )
|
|---|
| 14640 | portLabel (Text
|
|---|
| 14641 | uid 3,0
|
|---|
| 14642 | va (VaSet
|
|---|
| 14643 | font "Arial,8,1"
|
|---|
| 14644 | )
|
|---|
| 14645 | xt "-87000,17200,-84300,18200"
|
|---|
| 14646 | st "Ports:"
|
|---|
| 14647 | blo "-87000,18000"
|
|---|
| 14648 | )
|
|---|
| 14649 | preUserLabel (Text
|
|---|
| 14650 | uid 4,0
|
|---|
| 14651 | va (VaSet
|
|---|
| 14652 | font "Arial,8,1"
|
|---|
| 14653 | )
|
|---|
| 14654 | xt "-87000,43000,-83200,44000"
|
|---|
| 14655 | st "Pre User:"
|
|---|
| 14656 | blo "-87000,43800"
|
|---|
| 14657 | )
|
|---|
| 14658 | preUserText (MLText
|
|---|
| 14659 | uid 5,0
|
|---|
| 14660 | va (VaSet
|
|---|
| 14661 | font "Courier New,8,0"
|
|---|
| 14662 | )
|
|---|
| 14663 | xt "-85000,32800,-65000,34400"
|
|---|
| 14664 | tm "BdDeclarativeTextMgr"
|
|---|
| 14665 | )
|
|---|
| 14666 | diagSignalLabel (Text
|
|---|
| 14667 | uid 6,0
|
|---|
| 14668 | va (VaSet
|
|---|
| 14669 | font "Arial,8,1"
|
|---|
| 14670 | )
|
|---|
| 14671 | xt "-87000,44000,-79900,45000"
|
|---|
| 14672 | st "Diagram Signals:"
|
|---|
| 14673 | blo "-87000,44800"
|
|---|
| 14674 | )
|
|---|
| 14675 | postUserLabel (Text
|
|---|
| 14676 | uid 7,0
|
|---|
| 14677 | va (VaSet
|
|---|
| 14678 | font "Arial,8,1"
|
|---|
| 14679 | )
|
|---|
| 14680 | xt "-87000,85000,-82300,86000"
|
|---|
| 14681 | st "Post User:"
|
|---|
| 14682 | blo "-87000,85800"
|
|---|
| 14683 | )
|
|---|
| 14684 | postUserText (MLText
|
|---|
| 14685 | uid 8,0
|
|---|
| 14686 | va (VaSet
|
|---|
| 14687 | font "Courier New,8,0"
|
|---|
| 14688 | )
|
|---|
| 14689 | xt "-87000,16200,-87000,16200"
|
|---|
| 14690 | tm "BdDeclarativeTextMgr"
|
|---|
| 14691 | )
|
|---|
| 14692 | )
|
|---|
| 14693 | commonDM (CommonDM
|
|---|
| 14694 | ldm (LogicalDM
|
|---|
| 14695 | suid 183,0
|
|---|
| 14696 | usingSuid 1
|
|---|
| 14697 | emptyRow *453 (LEmptyRow
|
|---|
| 14698 | )
|
|---|
| 14699 | uid 54,0
|
|---|
| 14700 | optionalChildren [
|
|---|
| 14701 | *454 (RefLabelRowHdr
|
|---|
| 14702 | )
|
|---|
| 14703 | *455 (TitleRowHdr
|
|---|
| 14704 | )
|
|---|
| 14705 | *456 (FilterRowHdr
|
|---|
| 14706 | )
|
|---|
| 14707 | *457 (RefLabelColHdr
|
|---|
| 14708 | tm "RefLabelColHdrMgr"
|
|---|
| 14709 | )
|
|---|
| 14710 | *458 (RowExpandColHdr
|
|---|
| 14711 | tm "RowExpandColHdrMgr"
|
|---|
| 14712 | )
|
|---|
| 14713 | *459 (GroupColHdr
|
|---|
| 14714 | tm "GroupColHdrMgr"
|
|---|
| 14715 | )
|
|---|
| 14716 | *460 (NameColHdr
|
|---|
| 14717 | tm "BlockDiagramNameColHdrMgr"
|
|---|
| 14718 | )
|
|---|
| 14719 | *461 (ModeColHdr
|
|---|
| 14720 | tm "BlockDiagramModeColHdrMgr"
|
|---|
| 14721 | )
|
|---|
| 14722 | *462 (TypeColHdr
|
|---|
| 14723 | tm "BlockDiagramTypeColHdrMgr"
|
|---|
| 14724 | )
|
|---|
| 14725 | *463 (BoundsColHdr
|
|---|
| 14726 | tm "BlockDiagramBoundsColHdrMgr"
|
|---|
| 14727 | )
|
|---|
| 14728 | *464 (InitColHdr
|
|---|
| 14729 | tm "BlockDiagramInitColHdrMgr"
|
|---|
| 14730 | )
|
|---|
| 14731 | *465 (EolColHdr
|
|---|
| 14732 | tm "BlockDiagramEolColHdrMgr"
|
|---|
| 14733 | )
|
|---|
| 14734 | *466 (LeafLogPort
|
|---|
| 14735 | port (LogicalPort
|
|---|
| 14736 | m 4
|
|---|
| 14737 | decl (Decl
|
|---|
| 14738 | n "write_ea"
|
|---|
| 14739 | t "std_logic_vector"
|
|---|
| 14740 | b "(0 downto 0)"
|
|---|
| 14741 | o 80
|
|---|
| 14742 | suid 2,0
|
|---|
| 14743 | i "\"0\""
|
|---|
| 14744 | )
|
|---|
| 14745 | )
|
|---|
| 14746 | uid 516,0
|
|---|
| 14747 | )
|
|---|
| 14748 | *467 (LeafLogPort
|
|---|
| 14749 | port (LogicalPort
|
|---|
| 14750 | m 4
|
|---|
| 14751 | decl (Decl
|
|---|
| 14752 | n "addr_out"
|
|---|
| 14753 | t "std_logic_vector"
|
|---|
| 14754 | b "(RAMADDRWIDTH64b-1 DOWNTO 0)"
|
|---|
| 14755 | o 35
|
|---|
| 14756 | suid 3,0
|
|---|
| 14757 | )
|
|---|
| 14758 | )
|
|---|
| 14759 | uid 518,0
|
|---|
| 14760 | )
|
|---|
| 14761 | *468 (LeafLogPort
|
|---|
| 14762 | port (LogicalPort
|
|---|
| 14763 | m 4
|
|---|
| 14764 | decl (Decl
|
|---|
| 14765 | n "data_out"
|
|---|
| 14766 | t "std_logic_vector"
|
|---|
| 14767 | b "(63 DOWNTO 0)"
|
|---|
| 14768 | o 53
|
|---|
| 14769 | suid 4,0
|
|---|
| 14770 | )
|
|---|
| 14771 | )
|
|---|
| 14772 | uid 520,0
|
|---|
| 14773 | )
|
|---|
| 14774 | *469 (LeafLogPort
|
|---|
| 14775 | port (LogicalPort
|
|---|
| 14776 | m 4
|
|---|
| 14777 | decl (Decl
|
|---|
| 14778 | n "ram_addr"
|
|---|
| 14779 | t "std_logic_vector"
|
|---|
| 14780 | b "(RAMADDRWIDTH64b+1 DOWNTO 0)"
|
|---|
| 14781 | o 62
|
|---|
| 14782 | suid 9,0
|
|---|
| 14783 | )
|
|---|
| 14784 | )
|
|---|
| 14785 | uid 530,0
|
|---|
| 14786 | )
|
|---|
| 14787 | *470 (LeafLogPort
|
|---|
| 14788 | port (LogicalPort
|
|---|
| 14789 | m 4
|
|---|
| 14790 | decl (Decl
|
|---|
| 14791 | n "ram_data"
|
|---|
| 14792 | t "std_logic_vector"
|
|---|
| 14793 | b "(15 downto 0)"
|
|---|
| 14794 | o 63
|
|---|
| 14795 | suid 10,0
|
|---|
| 14796 | )
|
|---|
| 14797 | )
|
|---|
| 14798 | uid 532,0
|
|---|
| 14799 | )
|
|---|
| 14800 | *471 (LeafLogPort
|
|---|
| 14801 | port (LogicalPort
|
|---|
| 14802 | m 1
|
|---|
| 14803 | decl (Decl
|
|---|
| 14804 | n "wiz_reset"
|
|---|
| 14805 | t "std_logic"
|
|---|
| 14806 | o 28
|
|---|
| 14807 | suid 11,0
|
|---|
| 14808 | i "'1'"
|
|---|
| 14809 | )
|
|---|
| 14810 | )
|
|---|
| 14811 | uid 534,0
|
|---|
| 14812 | )
|
|---|
| 14813 | *472 (LeafLogPort
|
|---|
| 14814 | port (LogicalPort
|
|---|
| 14815 | m 1
|
|---|
| 14816 | decl (Decl
|
|---|
| 14817 | n "wiz_addr"
|
|---|
| 14818 | t "std_logic_vector"
|
|---|
| 14819 | b "(9 DOWNTO 0)"
|
|---|
| 14820 | o 25
|
|---|
| 14821 | suid 12,0
|
|---|
| 14822 | )
|
|---|
| 14823 | )
|
|---|
| 14824 | uid 536,0
|
|---|
| 14825 | )
|
|---|
| 14826 | *473 (LeafLogPort
|
|---|
| 14827 | port (LogicalPort
|
|---|
| 14828 | m 2
|
|---|
| 14829 | decl (Decl
|
|---|
| 14830 | n "wiz_data"
|
|---|
| 14831 | t "std_logic_vector"
|
|---|
| 14832 | b "(15 DOWNTO 0)"
|
|---|
| 14833 | o 31
|
|---|
| 14834 | suid 13,0
|
|---|
| 14835 | )
|
|---|
| 14836 | )
|
|---|
| 14837 | uid 538,0
|
|---|
| 14838 | )
|
|---|
| 14839 | *474 (LeafLogPort
|
|---|
| 14840 | port (LogicalPort
|
|---|
| 14841 | m 1
|
|---|
| 14842 | decl (Decl
|
|---|
| 14843 | n "wiz_cs"
|
|---|
| 14844 | t "std_logic"
|
|---|
| 14845 | o 26
|
|---|
| 14846 | suid 14,0
|
|---|
| 14847 | i "'1'"
|
|---|
| 14848 | )
|
|---|
| 14849 | )
|
|---|
| 14850 | uid 540,0
|
|---|
| 14851 | )
|
|---|
| 14852 | *475 (LeafLogPort
|
|---|
| 14853 | port (LogicalPort
|
|---|
| 14854 | m 1
|
|---|
| 14855 | decl (Decl
|
|---|
| 14856 | n "wiz_wr"
|
|---|
| 14857 | t "std_logic"
|
|---|
| 14858 | o 29
|
|---|
| 14859 | suid 15,0
|
|---|
| 14860 | i "'1'"
|
|---|
| 14861 | )
|
|---|
| 14862 | )
|
|---|
| 14863 | uid 542,0
|
|---|
| 14864 | )
|
|---|
| 14865 | *476 (LeafLogPort
|
|---|
| 14866 | port (LogicalPort
|
|---|
| 14867 | m 1
|
|---|
| 14868 | decl (Decl
|
|---|
| 14869 | n "wiz_rd"
|
|---|
| 14870 | t "std_logic"
|
|---|
| 14871 | o 27
|
|---|
| 14872 | suid 17,0
|
|---|
| 14873 | i "'1'"
|
|---|
| 14874 | )
|
|---|
| 14875 | )
|
|---|
| 14876 | uid 546,0
|
|---|
| 14877 | )
|
|---|
| 14878 | *477 (LeafLogPort
|
|---|
| 14879 | port (LogicalPort
|
|---|
| 14880 | decl (Decl
|
|---|
| 14881 | n "wiz_int"
|
|---|
| 14882 | t "std_logic"
|
|---|
| 14883 | o 11
|
|---|
| 14884 | suid 18,0
|
|---|
| 14885 | )
|
|---|
| 14886 | )
|
|---|
| 14887 | uid 548,0
|
|---|
| 14888 | )
|
|---|
| 14889 | *478 (LeafLogPort
|
|---|
| 14890 | port (LogicalPort
|
|---|
| 14891 | decl (Decl
|
|---|
| 14892 | n "board_id"
|
|---|
| 14893 | t "std_logic_vector"
|
|---|
| 14894 | b "(3 downto 0)"
|
|---|
| 14895 | preAdd 0
|
|---|
| 14896 | posAdd 0
|
|---|
| 14897 | o 8
|
|---|
| 14898 | suid 28,0
|
|---|
| 14899 | )
|
|---|
| 14900 | )
|
|---|
| 14901 | uid 1455,0
|
|---|
| 14902 | )
|
|---|
| 14903 | *479 (LeafLogPort
|
|---|
| 14904 | port (LogicalPort
|
|---|
| 14905 | decl (Decl
|
|---|
| 14906 | n "trigger"
|
|---|
| 14907 | t "std_logic"
|
|---|
| 14908 | preAdd 0
|
|---|
| 14909 | posAdd 0
|
|---|
| 14910 | o 10
|
|---|
| 14911 | suid 29,0
|
|---|
| 14912 | )
|
|---|
| 14913 | )
|
|---|
| 14914 | uid 1457,0
|
|---|
| 14915 | )
|
|---|
| 14916 | *480 (LeafLogPort
|
|---|
| 14917 | port (LogicalPort
|
|---|
| 14918 | decl (Decl
|
|---|
| 14919 | n "crate_id"
|
|---|
| 14920 | t "std_logic_vector"
|
|---|
| 14921 | b "(1 downto 0)"
|
|---|
| 14922 | o 9
|
|---|
| 14923 | suid 30,0
|
|---|
| 14924 | )
|
|---|
| 14925 | )
|
|---|
| 14926 | uid 1694,0
|
|---|
| 14927 | )
|
|---|
| 14928 | *481 (LeafLogPort
|
|---|
| 14929 | port (LogicalPort
|
|---|
| 14930 | lang 2
|
|---|
| 14931 | m 4
|
|---|
| 14932 | decl (Decl
|
|---|
| 14933 | n "trigger_id"
|
|---|
| 14934 | t "std_logic_vector"
|
|---|
| 14935 | b "(47 downto 0)"
|
|---|
| 14936 | preAdd 0
|
|---|
| 14937 | posAdd 0
|
|---|
| 14938 | o 72
|
|---|
| 14939 | suid 34,0
|
|---|
| 14940 | )
|
|---|
| 14941 | )
|
|---|
| 14942 | uid 1993,0
|
|---|
| 14943 | )
|
|---|
| 14944 | *482 (LeafLogPort
|
|---|
| 14945 | port (LogicalPort
|
|---|
| 14946 | m 4
|
|---|
| 14947 | decl (Decl
|
|---|
| 14948 | n "ram_start_addr"
|
|---|
| 14949 | t "std_logic_vector"
|
|---|
| 14950 | b "(RAMADDRWIDTH64b-1 DOWNTO 0)"
|
|---|
| 14951 | preAdd 0
|
|---|
| 14952 | posAdd 0
|
|---|
| 14953 | o 64
|
|---|
| 14954 | suid 36,0
|
|---|
| 14955 | )
|
|---|
| 14956 | )
|
|---|
| 14957 | uid 2305,0
|
|---|
| 14958 | )
|
|---|
| 14959 | *483 (LeafLogPort
|
|---|
| 14960 | port (LogicalPort
|
|---|
| 14961 | lang 2
|
|---|
| 14962 | m 4
|
|---|
| 14963 | decl (Decl
|
|---|
| 14964 | n "wiz_busy"
|
|---|
| 14965 | t "std_logic"
|
|---|
| 14966 | o 73
|
|---|
| 14967 | suid 38,0
|
|---|
| 14968 | )
|
|---|
| 14969 | )
|
|---|
| 14970 | uid 2510,0
|
|---|
| 14971 | )
|
|---|
| 14972 | *484 (LeafLogPort
|
|---|
| 14973 | port (LogicalPort
|
|---|
| 14974 | lang 2
|
|---|
| 14975 | m 4
|
|---|
| 14976 | decl (Decl
|
|---|
| 14977 | n "wiz_write_ea"
|
|---|
| 14978 | t "std_logic"
|
|---|
| 14979 | o 76
|
|---|
| 14980 | suid 39,0
|
|---|
| 14981 | i "'0'"
|
|---|
| 14982 | )
|
|---|
| 14983 | )
|
|---|
| 14984 | uid 2512,0
|
|---|
| 14985 | )
|
|---|
| 14986 | *485 (LeafLogPort
|
|---|
| 14987 | port (LogicalPort
|
|---|
| 14988 | lang 2
|
|---|
| 14989 | m 4
|
|---|
| 14990 | decl (Decl
|
|---|
| 14991 | n "wiz_write_length"
|
|---|
| 14992 | t "std_logic_vector"
|
|---|
| 14993 | b "(16 downto 0)"
|
|---|
| 14994 | o 79
|
|---|
| 14995 | suid 40,0
|
|---|
| 14996 | i "(others => '0')"
|
|---|
| 14997 | )
|
|---|
| 14998 | )
|
|---|
| 14999 | uid 2514,0
|
|---|
| 15000 | )
|
|---|
| 15001 | *486 (LeafLogPort
|
|---|
| 15002 | port (LogicalPort
|
|---|
| 15003 | lang 2
|
|---|
| 15004 | m 4
|
|---|
| 15005 | decl (Decl
|
|---|
| 15006 | n "wiz_ram_start_addr"
|
|---|
| 15007 | t "std_logic_vector"
|
|---|
| 15008 | b "(RAMADDRWIDTH64b+1 DOWNTO 0)"
|
|---|
| 15009 | preAdd 0
|
|---|
| 15010 | o 75
|
|---|
| 15011 | suid 41,0
|
|---|
| 15012 | i "(others => '0')"
|
|---|
| 15013 | )
|
|---|
| 15014 | )
|
|---|
| 15015 | uid 2516,0
|
|---|
| 15016 | )
|
|---|
| 15017 | *487 (LeafLogPort
|
|---|
| 15018 | port (LogicalPort
|
|---|
| 15019 | lang 2
|
|---|
| 15020 | m 4
|
|---|
| 15021 | decl (Decl
|
|---|
| 15022 | n "wiz_number_of_channels"
|
|---|
| 15023 | t "std_logic_vector"
|
|---|
| 15024 | b "(3 downto 0)"
|
|---|
| 15025 | o 74
|
|---|
| 15026 | suid 42,0
|
|---|
| 15027 | i "(others => '0')"
|
|---|
| 15028 | )
|
|---|
| 15029 | )
|
|---|
| 15030 | uid 2518,0
|
|---|
| 15031 | )
|
|---|
| 15032 | *488 (LeafLogPort
|
|---|
| 15033 | port (LogicalPort
|
|---|
| 15034 | lang 2
|
|---|
| 15035 | m 4
|
|---|
| 15036 | decl (Decl
|
|---|
| 15037 | n "wiz_write_end"
|
|---|
| 15038 | t "std_logic"
|
|---|
| 15039 | o 77
|
|---|
| 15040 | suid 43,0
|
|---|
| 15041 | i "'0'"
|
|---|
| 15042 | )
|
|---|
| 15043 | )
|
|---|
| 15044 | uid 2520,0
|
|---|
| 15045 | )
|
|---|
| 15046 | *489 (LeafLogPort
|
|---|
| 15047 | port (LogicalPort
|
|---|
| 15048 | lang 2
|
|---|
| 15049 | m 4
|
|---|
| 15050 | decl (Decl
|
|---|
| 15051 | n "wiz_write_header"
|
|---|
| 15052 | t "std_logic"
|
|---|
| 15053 | o 78
|
|---|
| 15054 | suid 44,0
|
|---|
| 15055 | i "'0'"
|
|---|
| 15056 | )
|
|---|
| 15057 | )
|
|---|
| 15058 | uid 2522,0
|
|---|
| 15059 | )
|
|---|
| 15060 | *490 (LeafLogPort
|
|---|
| 15061 | port (LogicalPort
|
|---|
| 15062 | m 4
|
|---|
| 15063 | decl (Decl
|
|---|
| 15064 | n "ram_write_ea"
|
|---|
| 15065 | t "std_logic"
|
|---|
| 15066 | o 65
|
|---|
| 15067 | suid 48,0
|
|---|
| 15068 | )
|
|---|
| 15069 | )
|
|---|
| 15070 | uid 2604,0
|
|---|
| 15071 | )
|
|---|
| 15072 | *491 (LeafLogPort
|
|---|
| 15073 | port (LogicalPort
|
|---|
| 15074 | m 4
|
|---|
| 15075 | decl (Decl
|
|---|
| 15076 | n "ram_write_ready"
|
|---|
| 15077 | t "std_logic"
|
|---|
| 15078 | o 66
|
|---|
| 15079 | suid 49,0
|
|---|
| 15080 | i "'0'"
|
|---|
| 15081 | )
|
|---|
| 15082 | )
|
|---|
| 15083 | uid 2606,0
|
|---|
| 15084 | )
|
|---|
| 15085 | *492 (LeafLogPort
|
|---|
| 15086 | port (LogicalPort
|
|---|
| 15087 | m 4
|
|---|
| 15088 | decl (Decl
|
|---|
| 15089 | n "config_start"
|
|---|
| 15090 | t "std_logic"
|
|---|
| 15091 | o 44
|
|---|
| 15092 | suid 50,0
|
|---|
| 15093 | i "'0'"
|
|---|
| 15094 | )
|
|---|
| 15095 | )
|
|---|
| 15096 | uid 2608,0
|
|---|
| 15097 | )
|
|---|
| 15098 | *493 (LeafLogPort
|
|---|
| 15099 | port (LogicalPort
|
|---|
| 15100 | m 4
|
|---|
| 15101 | decl (Decl
|
|---|
| 15102 | n "config_ready"
|
|---|
| 15103 | t "std_logic"
|
|---|
| 15104 | o 41
|
|---|
| 15105 | suid 51,0
|
|---|
| 15106 | )
|
|---|
| 15107 | )
|
|---|
| 15108 | uid 2610,0
|
|---|
| 15109 | )
|
|---|
| 15110 | *494 (LeafLogPort
|
|---|
| 15111 | port (LogicalPort
|
|---|
| 15112 | m 4
|
|---|
| 15113 | decl (Decl
|
|---|
| 15114 | n "roi_max"
|
|---|
| 15115 | t "roi_max_type"
|
|---|
| 15116 | o 68
|
|---|
| 15117 | suid 52,0
|
|---|
| 15118 | )
|
|---|
| 15119 | )
|
|---|
| 15120 | uid 2612,0
|
|---|
| 15121 | )
|
|---|
| 15122 | *495 (LeafLogPort
|
|---|
| 15123 | port (LogicalPort
|
|---|
| 15124 | m 4
|
|---|
| 15125 | decl (Decl
|
|---|
| 15126 | n "package_length"
|
|---|
| 15127 | t "std_logic_vector"
|
|---|
| 15128 | b "(15 downto 0)"
|
|---|
| 15129 | o 61
|
|---|
| 15130 | suid 54,0
|
|---|
| 15131 | )
|
|---|
| 15132 | )
|
|---|
| 15133 | uid 2646,0
|
|---|
| 15134 | )
|
|---|
| 15135 | *496 (LeafLogPort
|
|---|
| 15136 | port (LogicalPort
|
|---|
| 15137 | m 1
|
|---|
| 15138 | decl (Decl
|
|---|
| 15139 | n "adc_oeb"
|
|---|
| 15140 | t "std_logic"
|
|---|
| 15141 | o 16
|
|---|
| 15142 | suid 57,0
|
|---|
| 15143 | i "'1'"
|
|---|
| 15144 | )
|
|---|
| 15145 | )
|
|---|
| 15146 | uid 2812,0
|
|---|
| 15147 | )
|
|---|
| 15148 | *497 (LeafLogPort
|
|---|
| 15149 | port (LogicalPort
|
|---|
| 15150 | m 4
|
|---|
| 15151 | decl (Decl
|
|---|
| 15152 | n "roi_array"
|
|---|
| 15153 | t "roi_array_type"
|
|---|
| 15154 | o 67
|
|---|
| 15155 | suid 65,0
|
|---|
| 15156 | )
|
|---|
| 15157 | )
|
|---|
| 15158 | uid 2962,0
|
|---|
| 15159 | )
|
|---|
| 15160 | *498 (LeafLogPort
|
|---|
| 15161 | port (LogicalPort
|
|---|
| 15162 | m 1
|
|---|
| 15163 | decl (Decl
|
|---|
| 15164 | n "CLK_25_PS"
|
|---|
| 15165 | t "std_logic"
|
|---|
| 15166 | o 12
|
|---|
| 15167 | suid 81,0
|
|---|
| 15168 | )
|
|---|
| 15169 | )
|
|---|
| 15170 | uid 3902,0
|
|---|
| 15171 | )
|
|---|
| 15172 | *499 (LeafLogPort
|
|---|
| 15173 | port (LogicalPort
|
|---|
| 15174 | m 1
|
|---|
| 15175 | decl (Decl
|
|---|
| 15176 | n "CLK_50"
|
|---|
| 15177 | t "std_logic"
|
|---|
| 15178 | o 13
|
|---|
| 15179 | suid 90,0
|
|---|
| 15180 | )
|
|---|
| 15181 | )
|
|---|
| 15182 | uid 4070,0
|
|---|
| 15183 | )
|
|---|
| 15184 | *500 (LeafLogPort
|
|---|
| 15185 | port (LogicalPort
|
|---|
| 15186 | m 4
|
|---|
| 15187 | decl (Decl
|
|---|
| 15188 | n "CLK_25"
|
|---|
| 15189 | t "std_logic"
|
|---|
| 15190 | o 32
|
|---|
| 15191 | suid 91,0
|
|---|
| 15192 | )
|
|---|
| 15193 | )
|
|---|
| 15194 | uid 4212,0
|
|---|
| 15195 | )
|
|---|
| 15196 | *501 (LeafLogPort
|
|---|
| 15197 | port (LogicalPort
|
|---|
| 15198 | decl (Decl
|
|---|
| 15199 | n "CLK"
|
|---|
| 15200 | t "std_logic"
|
|---|
| 15201 | o 1
|
|---|
| 15202 | suid 92,0
|
|---|
| 15203 | )
|
|---|
| 15204 | )
|
|---|
| 15205 | uid 4234,0
|
|---|
| 15206 | )
|
|---|
| 15207 | *502 (LeafLogPort
|
|---|
| 15208 | port (LogicalPort
|
|---|
| 15209 | decl (Decl
|
|---|
| 15210 | n "adc_otr_array"
|
|---|
| 15211 | t "std_logic_vector"
|
|---|
| 15212 | b "(3 DOWNTO 0)"
|
|---|
| 15213 | o 7
|
|---|
| 15214 | suid 95,0
|
|---|
| 15215 | )
|
|---|
| 15216 | )
|
|---|
| 15217 | uid 4262,0
|
|---|
| 15218 | )
|
|---|
| 15219 | *503 (LeafLogPort
|
|---|
| 15220 | port (LogicalPort
|
|---|
| 15221 | decl (Decl
|
|---|
| 15222 | n "adc_data_array"
|
|---|
| 15223 | t "adc_data_array_type"
|
|---|
| 15224 | o 6
|
|---|
| 15225 | suid 96,0
|
|---|
| 15226 | )
|
|---|
| 15227 | )
|
|---|
| 15228 | uid 4276,0
|
|---|
| 15229 | )
|
|---|
| 15230 | *504 (LeafLogPort
|
|---|
| 15231 | port (LogicalPort
|
|---|
| 15232 | m 4
|
|---|
| 15233 | decl (Decl
|
|---|
| 15234 | n "drs_clk_en"
|
|---|
| 15235 | t "std_logic"
|
|---|
| 15236 | o 54
|
|---|
| 15237 | suid 97,0
|
|---|
| 15238 | i "'0'"
|
|---|
| 15239 | )
|
|---|
| 15240 | )
|
|---|
| 15241 | uid 4563,0
|
|---|
| 15242 | )
|
|---|
| 15243 | *505 (LeafLogPort
|
|---|
| 15244 | port (LogicalPort
|
|---|
| 15245 | m 4
|
|---|
| 15246 | decl (Decl
|
|---|
| 15247 | n "drs_s_cell_array"
|
|---|
| 15248 | t "drs_s_cell_array_type"
|
|---|
| 15249 | o 57
|
|---|
| 15250 | suid 98,0
|
|---|
| 15251 | )
|
|---|
| 15252 | )
|
|---|
| 15253 | uid 4565,0
|
|---|
| 15254 | )
|
|---|
| 15255 | *506 (LeafLogPort
|
|---|
| 15256 | port (LogicalPort
|
|---|
| 15257 | m 4
|
|---|
| 15258 | decl (Decl
|
|---|
| 15259 | n "drs_read_s_cell"
|
|---|
| 15260 | t "std_logic"
|
|---|
| 15261 | o 55
|
|---|
| 15262 | suid 100,0
|
|---|
| 15263 | i "'0'"
|
|---|
| 15264 | )
|
|---|
| 15265 | )
|
|---|
| 15266 | uid 4569,0
|
|---|
| 15267 | )
|
|---|
| 15268 | *507 (LeafLogPort
|
|---|
| 15269 | port (LogicalPort
|
|---|
| 15270 | m 1
|
|---|
| 15271 | decl (Decl
|
|---|
| 15272 | n "drs_channel_id"
|
|---|
| 15273 | t "std_logic_vector"
|
|---|
| 15274 | b "(3 downto 0)"
|
|---|
| 15275 | o 19
|
|---|
| 15276 | suid 109,0
|
|---|
| 15277 | i "(others => '0')"
|
|---|
| 15278 | )
|
|---|
| 15279 | )
|
|---|
| 15280 | uid 4585,0
|
|---|
| 15281 | )
|
|---|
| 15282 | *508 (LeafLogPort
|
|---|
| 15283 | port (LogicalPort
|
|---|
| 15284 | m 1
|
|---|
| 15285 | decl (Decl
|
|---|
| 15286 | n "drs_dwrite"
|
|---|
| 15287 | t "std_logic"
|
|---|
| 15288 | o 20
|
|---|
| 15289 | suid 110,0
|
|---|
| 15290 | i "'1'"
|
|---|
| 15291 | )
|
|---|
| 15292 | )
|
|---|
| 15293 | uid 4587,0
|
|---|
| 15294 | )
|
|---|
| 15295 | *509 (LeafLogPort
|
|---|
| 15296 | port (LogicalPort
|
|---|
| 15297 | decl (Decl
|
|---|
| 15298 | n "SROUT_in_0"
|
|---|
| 15299 | t "std_logic"
|
|---|
| 15300 | o 2
|
|---|
| 15301 | suid 112,0
|
|---|
| 15302 | )
|
|---|
| 15303 | )
|
|---|
| 15304 | uid 4733,0
|
|---|
| 15305 | )
|
|---|
| 15306 | *510 (LeafLogPort
|
|---|
| 15307 | port (LogicalPort
|
|---|
| 15308 | decl (Decl
|
|---|
| 15309 | n "SROUT_in_1"
|
|---|
| 15310 | t "std_logic"
|
|---|
| 15311 | o 3
|
|---|
| 15312 | suid 113,0
|
|---|
| 15313 | )
|
|---|
| 15314 | )
|
|---|
| 15315 | uid 4735,0
|
|---|
| 15316 | )
|
|---|
| 15317 | *511 (LeafLogPort
|
|---|
| 15318 | port (LogicalPort
|
|---|
| 15319 | decl (Decl
|
|---|
| 15320 | n "SROUT_in_2"
|
|---|
| 15321 | t "std_logic"
|
|---|
| 15322 | o 4
|
|---|
| 15323 | suid 114,0
|
|---|
| 15324 | )
|
|---|
| 15325 | )
|
|---|
| 15326 | uid 4737,0
|
|---|
| 15327 | )
|
|---|
| 15328 | *512 (LeafLogPort
|
|---|
| 15329 | port (LogicalPort
|
|---|
| 15330 | decl (Decl
|
|---|
| 15331 | n "SROUT_in_3"
|
|---|
| 15332 | t "std_logic"
|
|---|
| 15333 | o 5
|
|---|
| 15334 | suid 115,0
|
|---|
| 15335 | )
|
|---|
| 15336 | )
|
|---|
| 15337 | uid 4739,0
|
|---|
| 15338 | )
|
|---|
| 15339 | *513 (LeafLogPort
|
|---|
| 15340 | port (LogicalPort
|
|---|
| 15341 | m 4
|
|---|
| 15342 | decl (Decl
|
|---|
| 15343 | n "drs_read_s_cell_ready"
|
|---|
| 15344 | t "std_logic"
|
|---|
| 15345 | o 56
|
|---|
| 15346 | suid 116,0
|
|---|
| 15347 | )
|
|---|
| 15348 | )
|
|---|
| 15349 | uid 4749,0
|
|---|
| 15350 | )
|
|---|
| 15351 | *514 (LeafLogPort
|
|---|
| 15352 | port (LogicalPort
|
|---|
| 15353 | m 1
|
|---|
| 15354 | decl (Decl
|
|---|
| 15355 | n "RSRLOAD"
|
|---|
| 15356 | t "std_logic"
|
|---|
| 15357 | o 14
|
|---|
| 15358 | suid 117,0
|
|---|
| 15359 | i "'0'"
|
|---|
| 15360 | )
|
|---|
| 15361 | )
|
|---|
| 15362 | uid 4974,0
|
|---|
| 15363 | )
|
|---|
| 15364 | *515 (LeafLogPort
|
|---|
| 15365 | port (LogicalPort
|
|---|
| 15366 | m 1
|
|---|
| 15367 | decl (Decl
|
|---|
| 15368 | n "SRCLK"
|
|---|
| 15369 | t "std_logic"
|
|---|
| 15370 | o 15
|
|---|
| 15371 | suid 118,0
|
|---|
| 15372 | i "'0'"
|
|---|
| 15373 | )
|
|---|
| 15374 | )
|
|---|
| 15375 | uid 4976,0
|
|---|
| 15376 | )
|
|---|
| 15377 | *516 (LeafLogPort
|
|---|
| 15378 | port (LogicalPort
|
|---|
| 15379 | m 4
|
|---|
| 15380 | decl (Decl
|
|---|
| 15381 | n "config_addr"
|
|---|
| 15382 | t "std_logic_vector"
|
|---|
| 15383 | b "(7 DOWNTO 0)"
|
|---|
| 15384 | o 36
|
|---|
| 15385 | suid 119,0
|
|---|
| 15386 | )
|
|---|
| 15387 | )
|
|---|
| 15388 | uid 5198,0
|
|---|
| 15389 | )
|
|---|
| 15390 | *517 (LeafLogPort
|
|---|
| 15391 | port (LogicalPort
|
|---|
| 15392 | m 4
|
|---|
| 15393 | decl (Decl
|
|---|
| 15394 | n "config_data_valid"
|
|---|
| 15395 | t "std_logic"
|
|---|
| 15396 | o 39
|
|---|
| 15397 | suid 120,0
|
|---|
| 15398 | )
|
|---|
| 15399 | )
|
|---|
| 15400 | uid 5200,0
|
|---|
| 15401 | )
|
|---|
| 15402 | *518 (LeafLogPort
|
|---|
| 15403 | port (LogicalPort
|
|---|
| 15404 | m 4
|
|---|
| 15405 | decl (Decl
|
|---|
| 15406 | n "config_busy"
|
|---|
| 15407 | t "std_logic"
|
|---|
| 15408 | o 37
|
|---|
| 15409 | suid 121,0
|
|---|
| 15410 | )
|
|---|
| 15411 | )
|
|---|
| 15412 | uid 5202,0
|
|---|
| 15413 | )
|
|---|
| 15414 | *519 (LeafLogPort
|
|---|
| 15415 | port (LogicalPort
|
|---|
| 15416 | m 4
|
|---|
| 15417 | decl (Decl
|
|---|
| 15418 | n "config_data"
|
|---|
| 15419 | t "std_logic_vector"
|
|---|
| 15420 | b "(15 DOWNTO 0)"
|
|---|
| 15421 | o 38
|
|---|
| 15422 | suid 122,0
|
|---|
| 15423 | )
|
|---|
| 15424 | )
|
|---|
| 15425 | uid 5204,0
|
|---|
| 15426 | )
|
|---|
| 15427 | *520 (LeafLogPort
|
|---|
| 15428 | port (LogicalPort
|
|---|
| 15429 | m 4
|
|---|
| 15430 | decl (Decl
|
|---|
| 15431 | n "config_wr_en"
|
|---|
| 15432 | t "std_logic"
|
|---|
| 15433 | o 51
|
|---|
| 15434 | suid 123,0
|
|---|
| 15435 | )
|
|---|
| 15436 | )
|
|---|
| 15437 | uid 5206,0
|
|---|
| 15438 | )
|
|---|
| 15439 | *521 (LeafLogPort
|
|---|
| 15440 | port (LogicalPort
|
|---|
| 15441 | m 4
|
|---|
| 15442 | decl (Decl
|
|---|
| 15443 | n "config_rd_en"
|
|---|
| 15444 | t "std_logic"
|
|---|
| 15445 | o 40
|
|---|
| 15446 | suid 124,0
|
|---|
| 15447 | )
|
|---|
| 15448 | )
|
|---|
| 15449 | uid 5208,0
|
|---|
| 15450 | )
|
|---|
| 15451 | *522 (LeafLogPort
|
|---|
| 15452 | port (LogicalPort
|
|---|
| 15453 | m 4
|
|---|
| 15454 | decl (Decl
|
|---|
| 15455 | n "dac_array"
|
|---|
| 15456 | t "dac_array_type"
|
|---|
| 15457 | o 52
|
|---|
| 15458 | suid 126,0
|
|---|
| 15459 | )
|
|---|
| 15460 | )
|
|---|
| 15461 | uid 5210,0
|
|---|
| 15462 | )
|
|---|
| 15463 | *523 (LeafLogPort
|
|---|
| 15464 | port (LogicalPort
|
|---|
| 15465 | m 4
|
|---|
| 15466 | decl (Decl
|
|---|
| 15467 | n "config_start_cm"
|
|---|
| 15468 | t "std_logic"
|
|---|
| 15469 | o 45
|
|---|
| 15470 | suid 131,0
|
|---|
| 15471 | )
|
|---|
| 15472 | )
|
|---|
| 15473 | uid 5212,0
|
|---|
| 15474 | )
|
|---|
| 15475 | *524 (LeafLogPort
|
|---|
| 15476 | port (LogicalPort
|
|---|
| 15477 | m 4
|
|---|
| 15478 | decl (Decl
|
|---|
| 15479 | n "config_ready_cm"
|
|---|
| 15480 | t "std_logic"
|
|---|
| 15481 | o 42
|
|---|
| 15482 | suid 132,0
|
|---|
| 15483 | )
|
|---|
| 15484 | )
|
|---|
| 15485 | uid 5214,0
|
|---|
| 15486 | )
|
|---|
| 15487 | *525 (LeafLogPort
|
|---|
| 15488 | port (LogicalPort
|
|---|
| 15489 | m 1
|
|---|
| 15490 | decl (Decl
|
|---|
| 15491 | n "led"
|
|---|
| 15492 | t "std_logic_vector"
|
|---|
| 15493 | b "(7 DOWNTO 0)"
|
|---|
| 15494 | posAdd 0
|
|---|
| 15495 | o 21
|
|---|
| 15496 | suid 133,0
|
|---|
| 15497 | i "(OTHERS => '0')"
|
|---|
| 15498 | )
|
|---|
| 15499 | )
|
|---|
| 15500 | uid 5226,0
|
|---|
| 15501 | )
|
|---|
| 15502 | *526 (LeafLogPort
|
|---|
| 15503 | port (LogicalPort
|
|---|
| 15504 | m 4
|
|---|
| 15505 | decl (Decl
|
|---|
| 15506 | n "s_trigger"
|
|---|
| 15507 | t "std_logic"
|
|---|
| 15508 | o 69
|
|---|
| 15509 | suid 134,0
|
|---|
| 15510 | i "'0'"
|
|---|
| 15511 | )
|
|---|
| 15512 | )
|
|---|
| 15513 | uid 5285,0
|
|---|
| 15514 | )
|
|---|
| 15515 | *527 (LeafLogPort
|
|---|
| 15516 | port (LogicalPort
|
|---|
| 15517 | m 4
|
|---|
| 15518 | decl (Decl
|
|---|
| 15519 | n "sensor_ready"
|
|---|
| 15520 | t "std_logic"
|
|---|
| 15521 | o 71
|
|---|
| 15522 | suid 140,0
|
|---|
| 15523 | )
|
|---|
| 15524 | )
|
|---|
| 15525 | uid 5502,0
|
|---|
| 15526 | )
|
|---|
| 15527 | *528 (LeafLogPort
|
|---|
| 15528 | port (LogicalPort
|
|---|
| 15529 | m 4
|
|---|
| 15530 | decl (Decl
|
|---|
| 15531 | n "sensor_array"
|
|---|
| 15532 | t "sensor_array_type"
|
|---|
| 15533 | o 70
|
|---|
| 15534 | suid 141,0
|
|---|
| 15535 | )
|
|---|
| 15536 | )
|
|---|
| 15537 | uid 5504,0
|
|---|
| 15538 | )
|
|---|
| 15539 | *529 (LeafLogPort
|
|---|
| 15540 | port (LogicalPort
|
|---|
| 15541 | m 4
|
|---|
| 15542 | decl (Decl
|
|---|
| 15543 | n "config_ready_spi"
|
|---|
| 15544 | t "std_logic"
|
|---|
| 15545 | o 43
|
|---|
| 15546 | suid 144,0
|
|---|
| 15547 | )
|
|---|
| 15548 | )
|
|---|
| 15549 | uid 5600,0
|
|---|
| 15550 | )
|
|---|
| 15551 | *530 (LeafLogPort
|
|---|
| 15552 | port (LogicalPort
|
|---|
| 15553 | lang 10
|
|---|
| 15554 | m 4
|
|---|
| 15555 | decl (Decl
|
|---|
| 15556 | n "adc_otr"
|
|---|
| 15557 | t "std_logic_vector"
|
|---|
| 15558 | b "(3 DOWNTO 0)"
|
|---|
| 15559 | o 34
|
|---|
| 15560 | suid 146,0
|
|---|
| 15561 | )
|
|---|
| 15562 | )
|
|---|
| 15563 | uid 5642,0
|
|---|
| 15564 | )
|
|---|
| 15565 | *531 (LeafLogPort
|
|---|
| 15566 | port (LogicalPort
|
|---|
| 15567 | m 4
|
|---|
| 15568 | decl (Decl
|
|---|
| 15569 | n "adc_data_array_int"
|
|---|
| 15570 | t "adc_data_array_type"
|
|---|
| 15571 | o 33
|
|---|
| 15572 | suid 147,0
|
|---|
| 15573 | )
|
|---|
| 15574 | )
|
|---|
| 15575 | uid 5644,0
|
|---|
| 15576 | )
|
|---|
| 15577 | *532 (LeafLogPort
|
|---|
| 15578 | port (LogicalPort
|
|---|
| 15579 | m 4
|
|---|
| 15580 | decl (Decl
|
|---|
| 15581 | n "config_start_spi"
|
|---|
| 15582 | t "std_logic"
|
|---|
| 15583 | o 46
|
|---|
| 15584 | suid 149,0
|
|---|
| 15585 | i "'0'"
|
|---|
| 15586 | )
|
|---|
| 15587 | )
|
|---|
| 15588 | uid 5751,0
|
|---|
| 15589 | )
|
|---|
| 15590 | *533 (LeafLogPort
|
|---|
| 15591 | port (LogicalPort
|
|---|
| 15592 | m 1
|
|---|
| 15593 | decl (Decl
|
|---|
| 15594 | n "sclk"
|
|---|
| 15595 | t "std_logic"
|
|---|
| 15596 | o 23
|
|---|
| 15597 | suid 151,0
|
|---|
| 15598 | )
|
|---|
| 15599 | )
|
|---|
| 15600 | uid 5867,0
|
|---|
| 15601 | )
|
|---|
| 15602 | *534 (LeafLogPort
|
|---|
| 15603 | port (LogicalPort
|
|---|
| 15604 | m 2
|
|---|
| 15605 | decl (Decl
|
|---|
| 15606 | n "sio"
|
|---|
| 15607 | t "std_logic"
|
|---|
| 15608 | preAdd 0
|
|---|
| 15609 | posAdd 0
|
|---|
| 15610 | o 30
|
|---|
| 15611 | suid 152,0
|
|---|
| 15612 | )
|
|---|
| 15613 | )
|
|---|
| 15614 | uid 5869,0
|
|---|
| 15615 | )
|
|---|
| 15616 | *535 (LeafLogPort
|
|---|
| 15617 | port (LogicalPort
|
|---|
| 15618 | m 1
|
|---|
| 15619 | decl (Decl
|
|---|
| 15620 | n "dac_cs"
|
|---|
| 15621 | t "std_logic"
|
|---|
| 15622 | o 17
|
|---|
| 15623 | suid 153,0
|
|---|
| 15624 | )
|
|---|
| 15625 | )
|
|---|
| 15626 | uid 5871,0
|
|---|
| 15627 | )
|
|---|
| 15628 | *536 (LeafLogPort
|
|---|
| 15629 | port (LogicalPort
|
|---|
| 15630 | m 1
|
|---|
| 15631 | decl (Decl
|
|---|
| 15632 | n "sensor_cs"
|
|---|
| 15633 | t "std_logic_vector"
|
|---|
| 15634 | b "(3 DOWNTO 0)"
|
|---|
| 15635 | o 24
|
|---|
| 15636 | suid 154,0
|
|---|
| 15637 | )
|
|---|
| 15638 | )
|
|---|
| 15639 | uid 5873,0
|
|---|
| 15640 | )
|
|---|
| 15641 | *537 (LeafLogPort
|
|---|
| 15642 | port (LogicalPort
|
|---|
| 15643 | m 4
|
|---|
| 15644 | decl (Decl
|
|---|
| 15645 | n "new_config"
|
|---|
| 15646 | t "std_logic"
|
|---|
| 15647 | o 60
|
|---|
| 15648 | suid 155,0
|
|---|
| 15649 | i "'0'"
|
|---|
| 15650 | )
|
|---|
| 15651 | )
|
|---|
| 15652 | uid 5966,0
|
|---|
| 15653 | )
|
|---|
| 15654 | *538 (LeafLogPort
|
|---|
| 15655 | port (LogicalPort
|
|---|
| 15656 | m 4
|
|---|
| 15657 | decl (Decl
|
|---|
| 15658 | n "config_started"
|
|---|
| 15659 | t "std_logic"
|
|---|
| 15660 | o 47
|
|---|
| 15661 | suid 156,0
|
|---|
| 15662 | )
|
|---|
| 15663 | )
|
|---|
| 15664 | uid 5968,0
|
|---|
| 15665 | )
|
|---|
| 15666 | *539 (LeafLogPort
|
|---|
| 15667 | port (LogicalPort
|
|---|
| 15668 | m 4
|
|---|
| 15669 | decl (Decl
|
|---|
| 15670 | n "config_started_spi"
|
|---|
| 15671 | t "std_logic"
|
|---|
| 15672 | o 50
|
|---|
| 15673 | suid 159,0
|
|---|
| 15674 | i "'0'"
|
|---|
| 15675 | )
|
|---|
| 15676 | )
|
|---|
| 15677 | uid 6022,0
|
|---|
| 15678 | )
|
|---|
| 15679 | *540 (LeafLogPort
|
|---|
| 15680 | port (LogicalPort
|
|---|
| 15681 | m 4
|
|---|
| 15682 | decl (Decl
|
|---|
| 15683 | n "config_started_cu"
|
|---|
| 15684 | t "std_logic"
|
|---|
| 15685 | o 48
|
|---|
| 15686 | suid 160,0
|
|---|
| 15687 | i "'0'"
|
|---|
| 15688 | )
|
|---|
| 15689 | )
|
|---|
| 15690 | uid 6024,0
|
|---|
| 15691 | )
|
|---|
| 15692 | *541 (LeafLogPort
|
|---|
| 15693 | port (LogicalPort
|
|---|
| 15694 | m 4
|
|---|
| 15695 | decl (Decl
|
|---|
| 15696 | n "config_started_mm"
|
|---|
| 15697 | t "std_logic"
|
|---|
| 15698 | o 49
|
|---|
| 15699 | suid 161,0
|
|---|
| 15700 | )
|
|---|
| 15701 | )
|
|---|
| 15702 | uid 6026,0
|
|---|
| 15703 | )
|
|---|
| 15704 | *542 (LeafLogPort
|
|---|
| 15705 | port (LogicalPort
|
|---|
| 15706 | m 1
|
|---|
| 15707 | decl (Decl
|
|---|
| 15708 | n "mosi"
|
|---|
| 15709 | t "std_logic"
|
|---|
| 15710 | o 22
|
|---|
| 15711 | suid 162,0
|
|---|
| 15712 | i "'0'"
|
|---|
| 15713 | )
|
|---|
| 15714 | )
|
|---|
| 15715 | uid 6172,0
|
|---|
| 15716 | )
|
|---|
| 15717 | *543 (LeafLogPort
|
|---|
| 15718 | port (LogicalPort
|
|---|
| 15719 | m 1
|
|---|
| 15720 | decl (Decl
|
|---|
| 15721 | n "denable"
|
|---|
| 15722 | t "std_logic"
|
|---|
| 15723 | eolc "-- default domino wave off"
|
|---|
| 15724 | posAdd 0
|
|---|
| 15725 | o 18
|
|---|
| 15726 | suid 166,0
|
|---|
| 15727 | i "'0'"
|
|---|
| 15728 | )
|
|---|
| 15729 | )
|
|---|
| 15730 | uid 6374,0
|
|---|
| 15731 | )
|
|---|
| 15732 | *544 (LeafLogPort
|
|---|
| 15733 | port (LogicalPort
|
|---|
| 15734 | m 4
|
|---|
| 15735 | decl (Decl
|
|---|
| 15736 | n "dwrite_enable"
|
|---|
| 15737 | t "std_logic"
|
|---|
| 15738 | o 59
|
|---|
| 15739 | suid 167,0
|
|---|
| 15740 | i "'1'"
|
|---|
| 15741 | )
|
|---|
| 15742 | )
|
|---|
| 15743 | uid 6464,0
|
|---|
| 15744 | )
|
|---|
| 15745 | *545 (LeafLogPort
|
|---|
| 15746 | port (LogicalPort
|
|---|
| 15747 | m 4
|
|---|
| 15748 | decl (Decl
|
|---|
| 15749 | n "dwrite"
|
|---|
| 15750 | t "std_logic"
|
|---|
| 15751 | o 58
|
|---|
| 15752 | suid 169,0
|
|---|
| 15753 | i "'1'"
|
|---|
| 15754 | )
|
|---|
| 15755 | )
|
|---|
| 15756 | uid 6554,0
|
|---|
| 15757 | )
|
|---|
| 15758 | *546 (LeafLogPort
|
|---|
| 15759 | port (LogicalPort
|
|---|
| 15760 | lang 2
|
|---|
| 15761 | m 4
|
|---|
| 15762 | decl (Decl
|
|---|
| 15763 | n "wiz_ack"
|
|---|
| 15764 | t "std_logic"
|
|---|
| 15765 | o 81
|
|---|
| 15766 | suid 183,0
|
|---|
| 15767 | )
|
|---|
| 15768 | )
|
|---|
| 15769 | uid 8420,0
|
|---|
| 15770 | )
|
|---|
| 15771 | ]
|
|---|
| 15772 | )
|
|---|
| 15773 | pdm (PhysicalDM
|
|---|
| 15774 | displayShortBounds 1
|
|---|
| 15775 | editShortBounds 1
|
|---|
| 15776 | uid 67,0
|
|---|
| 15777 | optionalChildren [
|
|---|
| 15778 | *547 (Sheet
|
|---|
| 15779 | sheetRow (SheetRow
|
|---|
| 15780 | headerVa (MVa
|
|---|
| 15781 | cellColor "49152,49152,49152"
|
|---|
| 15782 | fontColor "0,0,0"
|
|---|
| 15783 | font "Tahoma,10,0"
|
|---|
| 15784 | )
|
|---|
| 15785 | cellVa (MVa
|
|---|
| 15786 | cellColor "65535,65535,65535"
|
|---|
| 15787 | fontColor "0,0,0"
|
|---|
| 15788 | font "Tahoma,10,0"
|
|---|
| 15789 | )
|
|---|
| 15790 | groupVa (MVa
|
|---|
| 15791 | cellColor "39936,56832,65280"
|
|---|
| 15792 | fontColor "0,0,0"
|
|---|
| 15793 | font "Tahoma,10,0"
|
|---|
| 15794 | )
|
|---|
| 15795 | emptyMRCItem *548 (MRCItem
|
|---|
| 15796 | litem &453
|
|---|
| 15797 | pos 81
|
|---|
| 15798 | dimension 20
|
|---|
| 15799 | )
|
|---|
| 15800 | uid 69,0
|
|---|
| 15801 | optionalChildren [
|
|---|
| 15802 | *549 (MRCItem
|
|---|
| 15803 | litem &454
|
|---|
| 15804 | pos 0
|
|---|
| 15805 | dimension 20
|
|---|
| 15806 | uid 70,0
|
|---|
| 15807 | )
|
|---|
| 15808 | *550 (MRCItem
|
|---|
| 15809 | litem &455
|
|---|
| 15810 | pos 1
|
|---|
| 15811 | dimension 23
|
|---|
| 15812 | uid 71,0
|
|---|
| 15813 | )
|
|---|
| 15814 | *551 (MRCItem
|
|---|
| 15815 | litem &456
|
|---|
| 15816 | pos 2
|
|---|
| 15817 | hidden 1
|
|---|
| 15818 | dimension 20
|
|---|
| 15819 | uid 72,0
|
|---|
| 15820 | )
|
|---|
| 15821 | *552 (MRCItem
|
|---|
| 15822 | litem &466
|
|---|
| 15823 | pos 31
|
|---|
| 15824 | dimension 20
|
|---|
| 15825 | uid 517,0
|
|---|
| 15826 | )
|
|---|
| 15827 | *553 (MRCItem
|
|---|
| 15828 | litem &467
|
|---|
| 15829 | pos 32
|
|---|
| 15830 | dimension 20
|
|---|
| 15831 | uid 519,0
|
|---|
| 15832 | )
|
|---|
| 15833 | *554 (MRCItem
|
|---|
| 15834 | litem &468
|
|---|
| 15835 | pos 33
|
|---|
| 15836 | dimension 20
|
|---|
| 15837 | uid 521,0
|
|---|
| 15838 | )
|
|---|
| 15839 | *555 (MRCItem
|
|---|
| 15840 | litem &469
|
|---|
| 15841 | pos 34
|
|---|
| 15842 | dimension 20
|
|---|
| 15843 | uid 531,0
|
|---|
| 15844 | )
|
|---|
| 15845 | *556 (MRCItem
|
|---|
| 15846 | litem &470
|
|---|
| 15847 | pos 35
|
|---|
| 15848 | dimension 20
|
|---|
| 15849 | uid 533,0
|
|---|
| 15850 | )
|
|---|
| 15851 | *557 (MRCItem
|
|---|
| 15852 | litem &471
|
|---|
| 15853 | pos 0
|
|---|
| 15854 | dimension 20
|
|---|
| 15855 | uid 535,0
|
|---|
| 15856 | )
|
|---|
| 15857 | *558 (MRCItem
|
|---|
| 15858 | litem &472
|
|---|
| 15859 | pos 1
|
|---|
| 15860 | dimension 20
|
|---|
| 15861 | uid 537,0
|
|---|
| 15862 | )
|
|---|
| 15863 | *559 (MRCItem
|
|---|
| 15864 | litem &473
|
|---|
| 15865 | pos 2
|
|---|
| 15866 | dimension 20
|
|---|
| 15867 | uid 539,0
|
|---|
| 15868 | )
|
|---|
| 15869 | *560 (MRCItem
|
|---|
| 15870 | litem &474
|
|---|
| 15871 | pos 3
|
|---|
| 15872 | dimension 20
|
|---|
| 15873 | uid 541,0
|
|---|
| 15874 | )
|
|---|
| 15875 | *561 (MRCItem
|
|---|
| 15876 | litem &475
|
|---|
| 15877 | pos 4
|
|---|
| 15878 | dimension 20
|
|---|
| 15879 | uid 543,0
|
|---|
| 15880 | )
|
|---|
| 15881 | *562 (MRCItem
|
|---|
| 15882 | litem &476
|
|---|
| 15883 | pos 5
|
|---|
| 15884 | dimension 20
|
|---|
| 15885 | uid 547,0
|
|---|
| 15886 | )
|
|---|
| 15887 | *563 (MRCItem
|
|---|
| 15888 | litem &477
|
|---|
| 15889 | pos 6
|
|---|
| 15890 | dimension 20
|
|---|
| 15891 | uid 549,0
|
|---|
| 15892 | )
|
|---|
| 15893 | *564 (MRCItem
|
|---|
| 15894 | litem &478
|
|---|
| 15895 | pos 8
|
|---|
| 15896 | dimension 20
|
|---|
| 15897 | uid 1456,0
|
|---|
| 15898 | )
|
|---|
| 15899 | *565 (MRCItem
|
|---|
| 15900 | litem &479
|
|---|
| 15901 | pos 7
|
|---|
| 15902 | dimension 20
|
|---|
| 15903 | uid 1458,0
|
|---|
| 15904 | )
|
|---|
| 15905 | *566 (MRCItem
|
|---|
| 15906 | litem &480
|
|---|
| 15907 | pos 9
|
|---|
| 15908 | dimension 20
|
|---|
| 15909 | uid 1695,0
|
|---|
| 15910 | )
|
|---|
| 15911 | *567 (MRCItem
|
|---|
| 15912 | litem &481
|
|---|
| 15913 | pos 36
|
|---|
| 15914 | dimension 20
|
|---|
| 15915 | uid 1994,0
|
|---|
| 15916 | )
|
|---|
| 15917 | *568 (MRCItem
|
|---|
| 15918 | litem &482
|
|---|
| 15919 | pos 37
|
|---|
| 15920 | dimension 20
|
|---|
| 15921 | uid 2306,0
|
|---|
| 15922 | )
|
|---|
| 15923 | *569 (MRCItem
|
|---|
| 15924 | litem &483
|
|---|
| 15925 | pos 38
|
|---|
| 15926 | dimension 20
|
|---|
| 15927 | uid 2511,0
|
|---|
| 15928 | )
|
|---|
| 15929 | *570 (MRCItem
|
|---|
| 15930 | litem &484
|
|---|
| 15931 | pos 39
|
|---|
| 15932 | dimension 20
|
|---|
| 15933 | uid 2513,0
|
|---|
| 15934 | )
|
|---|
| 15935 | *571 (MRCItem
|
|---|
| 15936 | litem &485
|
|---|
| 15937 | pos 40
|
|---|
| 15938 | dimension 20
|
|---|
| 15939 | uid 2515,0
|
|---|
| 15940 | )
|
|---|
| 15941 | *572 (MRCItem
|
|---|
| 15942 | litem &486
|
|---|
| 15943 | pos 41
|
|---|
| 15944 | dimension 20
|
|---|
| 15945 | uid 2517,0
|
|---|
| 15946 | )
|
|---|
| 15947 | *573 (MRCItem
|
|---|
| 15948 | litem &487
|
|---|
| 15949 | pos 42
|
|---|
| 15950 | dimension 20
|
|---|
| 15951 | uid 2519,0
|
|---|
| 15952 | )
|
|---|
| 15953 | *574 (MRCItem
|
|---|
| 15954 | litem &488
|
|---|
| 15955 | pos 43
|
|---|
| 15956 | dimension 20
|
|---|
| 15957 | uid 2521,0
|
|---|
| 15958 | )
|
|---|
| 15959 | *575 (MRCItem
|
|---|
| 15960 | litem &489
|
|---|
| 15961 | pos 44
|
|---|
| 15962 | dimension 20
|
|---|
| 15963 | uid 2523,0
|
|---|
| 15964 | )
|
|---|
| 15965 | *576 (MRCItem
|
|---|
| 15966 | litem &490
|
|---|
| 15967 | pos 45
|
|---|
| 15968 | dimension 20
|
|---|
| 15969 | uid 2605,0
|
|---|
| 15970 | )
|
|---|
| 15971 | *577 (MRCItem
|
|---|
| 15972 | litem &491
|
|---|
| 15973 | pos 46
|
|---|
| 15974 | dimension 20
|
|---|
| 15975 | uid 2607,0
|
|---|
| 15976 | )
|
|---|
| 15977 | *578 (MRCItem
|
|---|
| 15978 | litem &492
|
|---|
| 15979 | pos 47
|
|---|
| 15980 | dimension 20
|
|---|
| 15981 | uid 2609,0
|
|---|
| 15982 | )
|
|---|
| 15983 | *579 (MRCItem
|
|---|
| 15984 | litem &493
|
|---|
| 15985 | pos 48
|
|---|
| 15986 | dimension 20
|
|---|
| 15987 | uid 2611,0
|
|---|
| 15988 | )
|
|---|
| 15989 | *580 (MRCItem
|
|---|
| 15990 | litem &494
|
|---|
| 15991 | pos 49
|
|---|
| 15992 | dimension 20
|
|---|
| 15993 | uid 2613,0
|
|---|
| 15994 | )
|
|---|
| 15995 | *581 (MRCItem
|
|---|
| 15996 | litem &495
|
|---|
| 15997 | pos 50
|
|---|
| 15998 | dimension 20
|
|---|
| 15999 | uid 2647,0
|
|---|
| 16000 | )
|
|---|
| 16001 | *582 (MRCItem
|
|---|
| 16002 | litem &496
|
|---|
| 16003 | pos 10
|
|---|
| 16004 | dimension 20
|
|---|
| 16005 | uid 2813,0
|
|---|
| 16006 | )
|
|---|
| 16007 | *583 (MRCItem
|
|---|
| 16008 | litem &497
|
|---|
| 16009 | pos 51
|
|---|
| 16010 | dimension 20
|
|---|
| 16011 | uid 2963,0
|
|---|
| 16012 | )
|
|---|
| 16013 | *584 (MRCItem
|
|---|
| 16014 | litem &498
|
|---|
| 16015 | pos 11
|
|---|
| 16016 | dimension 20
|
|---|
| 16017 | uid 3903,0
|
|---|
| 16018 | )
|
|---|
| 16019 | *585 (MRCItem
|
|---|
| 16020 | litem &499
|
|---|
| 16021 | pos 12
|
|---|
| 16022 | dimension 20
|
|---|
| 16023 | uid 4071,0
|
|---|
| 16024 | )
|
|---|
| 16025 | *586 (MRCItem
|
|---|
| 16026 | litem &500
|
|---|
| 16027 | pos 52
|
|---|
| 16028 | dimension 20
|
|---|
| 16029 | uid 4213,0
|
|---|
| 16030 | )
|
|---|
| 16031 | *587 (MRCItem
|
|---|
| 16032 | litem &501
|
|---|
| 16033 | pos 13
|
|---|
| 16034 | dimension 20
|
|---|
| 16035 | uid 4235,0
|
|---|
| 16036 | )
|
|---|
| 16037 | *588 (MRCItem
|
|---|
| 16038 | litem &502
|
|---|
| 16039 | pos 14
|
|---|
| 16040 | dimension 20
|
|---|
| 16041 | uid 4263,0
|
|---|
| 16042 | )
|
|---|
| 16043 | *589 (MRCItem
|
|---|
| 16044 | litem &503
|
|---|
| 16045 | pos 15
|
|---|
| 16046 | dimension 20
|
|---|
| 16047 | uid 4277,0
|
|---|
| 16048 | )
|
|---|
| 16049 | *590 (MRCItem
|
|---|
| 16050 | litem &504
|
|---|
| 16051 | pos 53
|
|---|
| 16052 | dimension 20
|
|---|
| 16053 | uid 4564,0
|
|---|
| 16054 | )
|
|---|
| 16055 | *591 (MRCItem
|
|---|
| 16056 | litem &505
|
|---|
| 16057 | pos 54
|
|---|
| 16058 | dimension 20
|
|---|
| 16059 | uid 4566,0
|
|---|
| 16060 | )
|
|---|
| 16061 | *592 (MRCItem
|
|---|
| 16062 | litem &506
|
|---|
| 16063 | pos 55
|
|---|
| 16064 | dimension 20
|
|---|
| 16065 | uid 4570,0
|
|---|
| 16066 | )
|
|---|
| 16067 | *593 (MRCItem
|
|---|
| 16068 | litem &507
|
|---|
| 16069 | pos 16
|
|---|
| 16070 | dimension 20
|
|---|
| 16071 | uid 4586,0
|
|---|
| 16072 | )
|
|---|
| 16073 | *594 (MRCItem
|
|---|
| 16074 | litem &508
|
|---|
| 16075 | pos 17
|
|---|
| 16076 | dimension 20
|
|---|
| 16077 | uid 4588,0
|
|---|
| 16078 | )
|
|---|
| 16079 | *595 (MRCItem
|
|---|
| 16080 | litem &509
|
|---|
| 16081 | pos 18
|
|---|
| 16082 | dimension 20
|
|---|
| 16083 | uid 4734,0
|
|---|
| 16084 | )
|
|---|
| 16085 | *596 (MRCItem
|
|---|
| 16086 | litem &510
|
|---|
| 16087 | pos 19
|
|---|
| 16088 | dimension 20
|
|---|
| 16089 | uid 4736,0
|
|---|
| 16090 | )
|
|---|
| 16091 | *597 (MRCItem
|
|---|
| 16092 | litem &511
|
|---|
| 16093 | pos 20
|
|---|
| 16094 | dimension 20
|
|---|
| 16095 | uid 4738,0
|
|---|
| 16096 | )
|
|---|
| 16097 | *598 (MRCItem
|
|---|
| 16098 | litem &512
|
|---|
| 16099 | pos 21
|
|---|
| 16100 | dimension 20
|
|---|
| 16101 | uid 4740,0
|
|---|
| 16102 | )
|
|---|
| 16103 | *599 (MRCItem
|
|---|
| 16104 | litem &513
|
|---|
| 16105 | pos 56
|
|---|
| 16106 | dimension 20
|
|---|
| 16107 | uid 4750,0
|
|---|
| 16108 | )
|
|---|
| 16109 | *600 (MRCItem
|
|---|
| 16110 | litem &514
|
|---|
| 16111 | pos 22
|
|---|
| 16112 | dimension 20
|
|---|
| 16113 | uid 4975,0
|
|---|
| 16114 | )
|
|---|
| 16115 | *601 (MRCItem
|
|---|
| 16116 | litem &515
|
|---|
| 16117 | pos 23
|
|---|
| 16118 | dimension 20
|
|---|
| 16119 | uid 4977,0
|
|---|
| 16120 | )
|
|---|
| 16121 | *602 (MRCItem
|
|---|
| 16122 | litem &516
|
|---|
| 16123 | pos 57
|
|---|
| 16124 | dimension 20
|
|---|
| 16125 | uid 5199,0
|
|---|
| 16126 | )
|
|---|
| 16127 | *603 (MRCItem
|
|---|
| 16128 | litem &517
|
|---|
| 16129 | pos 58
|
|---|
| 16130 | dimension 20
|
|---|
| 16131 | uid 5201,0
|
|---|
| 16132 | )
|
|---|
| 16133 | *604 (MRCItem
|
|---|
| 16134 | litem &518
|
|---|
| 16135 | pos 59
|
|---|
| 16136 | dimension 20
|
|---|
| 16137 | uid 5203,0
|
|---|
| 16138 | )
|
|---|
| 16139 | *605 (MRCItem
|
|---|
| 16140 | litem &519
|
|---|
| 16141 | pos 60
|
|---|
| 16142 | dimension 20
|
|---|
| 16143 | uid 5205,0
|
|---|
| 16144 | )
|
|---|
| 16145 | *606 (MRCItem
|
|---|
| 16146 | litem &520
|
|---|
| 16147 | pos 61
|
|---|
| 16148 | dimension 20
|
|---|
| 16149 | uid 5207,0
|
|---|
| 16150 | )
|
|---|
| 16151 | *607 (MRCItem
|
|---|
| 16152 | litem &521
|
|---|
| 16153 | pos 62
|
|---|
| 16154 | dimension 20
|
|---|
| 16155 | uid 5209,0
|
|---|
| 16156 | )
|
|---|
| 16157 | *608 (MRCItem
|
|---|
| 16158 | litem &522
|
|---|
| 16159 | pos 63
|
|---|
| 16160 | dimension 20
|
|---|
| 16161 | uid 5211,0
|
|---|
| 16162 | )
|
|---|
| 16163 | *609 (MRCItem
|
|---|
| 16164 | litem &523
|
|---|
| 16165 | pos 64
|
|---|
| 16166 | dimension 20
|
|---|
| 16167 | uid 5213,0
|
|---|
| 16168 | )
|
|---|
| 16169 | *610 (MRCItem
|
|---|
| 16170 | litem &524
|
|---|
| 16171 | pos 65
|
|---|
| 16172 | dimension 20
|
|---|
| 16173 | uid 5215,0
|
|---|
| 16174 | )
|
|---|
| 16175 | *611 (MRCItem
|
|---|
| 16176 | litem &525
|
|---|
| 16177 | pos 24
|
|---|
| 16178 | dimension 20
|
|---|
| 16179 | uid 5227,0
|
|---|
| 16180 | )
|
|---|
| 16181 | *612 (MRCItem
|
|---|
| 16182 | litem &526
|
|---|
| 16183 | pos 66
|
|---|
| 16184 | dimension 20
|
|---|
| 16185 | uid 5286,0
|
|---|
| 16186 | )
|
|---|
| 16187 | *613 (MRCItem
|
|---|
| 16188 | litem &527
|
|---|
| 16189 | pos 67
|
|---|
| 16190 | dimension 20
|
|---|
| 16191 | uid 5503,0
|
|---|
| 16192 | )
|
|---|
| 16193 | *614 (MRCItem
|
|---|
| 16194 | litem &528
|
|---|
| 16195 | pos 68
|
|---|
| 16196 | dimension 20
|
|---|
| 16197 | uid 5505,0
|
|---|
| 16198 | )
|
|---|
| 16199 | *615 (MRCItem
|
|---|
| 16200 | litem &529
|
|---|
| 16201 | pos 69
|
|---|
| 16202 | dimension 20
|
|---|
| 16203 | uid 5601,0
|
|---|
| 16204 | )
|
|---|
| 16205 | *616 (MRCItem
|
|---|
| 16206 | litem &530
|
|---|
| 16207 | pos 70
|
|---|
| 16208 | dimension 20
|
|---|
| 16209 | uid 5643,0
|
|---|
| 16210 | )
|
|---|
| 16211 | *617 (MRCItem
|
|---|
| 16212 | litem &531
|
|---|
| 16213 | pos 71
|
|---|
| 16214 | dimension 20
|
|---|
| 16215 | uid 5645,0
|
|---|
| 16216 | )
|
|---|
| 16217 | *618 (MRCItem
|
|---|
| 16218 | litem &532
|
|---|
| 16219 | pos 72
|
|---|
| 16220 | dimension 20
|
|---|
| 16221 | uid 5752,0
|
|---|
| 16222 | )
|
|---|
| 16223 | *619 (MRCItem
|
|---|
| 16224 | litem &533
|
|---|
| 16225 | pos 25
|
|---|
| 16226 | dimension 20
|
|---|
| 16227 | uid 5868,0
|
|---|
| 16228 | )
|
|---|
| 16229 | *620 (MRCItem
|
|---|
| 16230 | litem &534
|
|---|
| 16231 | pos 26
|
|---|
| 16232 | dimension 20
|
|---|
| 16233 | uid 5870,0
|
|---|
| 16234 | )
|
|---|
| 16235 | *621 (MRCItem
|
|---|
| 16236 | litem &535
|
|---|
| 16237 | pos 27
|
|---|
| 16238 | dimension 20
|
|---|
| 16239 | uid 5872,0
|
|---|
| 16240 | )
|
|---|
| 16241 | *622 (MRCItem
|
|---|
| 16242 | litem &536
|
|---|
| 16243 | pos 28
|
|---|
| 16244 | dimension 20
|
|---|
| 16245 | uid 5874,0
|
|---|
| 16246 | )
|
|---|
| 16247 | *623 (MRCItem
|
|---|
| 16248 | litem &537
|
|---|
| 16249 | pos 73
|
|---|
| 16250 | dimension 20
|
|---|
| 16251 | uid 5967,0
|
|---|
| 16252 | )
|
|---|
| 16253 | *624 (MRCItem
|
|---|
| 16254 | litem &538
|
|---|
| 16255 | pos 74
|
|---|
| 16256 | dimension 20
|
|---|
| 16257 | uid 5969,0
|
|---|
| 16258 | )
|
|---|
| 16259 | *625 (MRCItem
|
|---|
| 16260 | litem &539
|
|---|
| 16261 | pos 75
|
|---|
| 16262 | dimension 20
|
|---|
| 16263 | uid 6023,0
|
|---|
| 16264 | )
|
|---|
| 16265 | *626 (MRCItem
|
|---|
| 16266 | litem &540
|
|---|
| 16267 | pos 76
|
|---|
| 16268 | dimension 20
|
|---|
| 16269 | uid 6025,0
|
|---|
| 16270 | )
|
|---|
| 16271 | *627 (MRCItem
|
|---|
| 16272 | litem &541
|
|---|
| 16273 | pos 77
|
|---|
| 16274 | dimension 20
|
|---|
| 16275 | uid 6027,0
|
|---|
| 16276 | )
|
|---|
| 16277 | *628 (MRCItem
|
|---|
| 16278 | litem &542
|
|---|
| 16279 | pos 29
|
|---|
| 16280 | dimension 20
|
|---|
| 16281 | uid 6173,0
|
|---|
| 16282 | )
|
|---|
| 16283 | *629 (MRCItem
|
|---|
| 16284 | litem &543
|
|---|
| 16285 | pos 30
|
|---|
| 16286 | dimension 20
|
|---|
| 16287 | uid 6375,0
|
|---|
| 16288 | )
|
|---|
| 16289 | *630 (MRCItem
|
|---|
| 16290 | litem &544
|
|---|
| 16291 | pos 78
|
|---|
| 16292 | dimension 20
|
|---|
| 16293 | uid 6465,0
|
|---|
| 16294 | )
|
|---|
| 16295 | *631 (MRCItem
|
|---|
| 16296 | litem &545
|
|---|
| 16297 | pos 79
|
|---|
| 16298 | dimension 20
|
|---|
| 16299 | uid 6555,0
|
|---|
| 16300 | )
|
|---|
| 16301 | *632 (MRCItem
|
|---|
| 16302 | litem &546
|
|---|
| 16303 | pos 80
|
|---|
| 16304 | dimension 20
|
|---|
| 16305 | uid 8421,0
|
|---|
| 16306 | )
|
|---|
| 16307 | ]
|
|---|
| 16308 | )
|
|---|
| 16309 | sheetCol (SheetCol
|
|---|
| 16310 | propVa (MVa
|
|---|
| 16311 | cellColor "0,49152,49152"
|
|---|
| 16312 | fontColor "0,0,0"
|
|---|
| 16313 | font "Tahoma,10,0"
|
|---|
| 16314 | textAngle 90
|
|---|
| 16315 | )
|
|---|
| 16316 | uid 73,0
|
|---|
| 16317 | optionalChildren [
|
|---|
| 16318 | *633 (MRCItem
|
|---|
| 16319 | litem &457
|
|---|
| 16320 | pos 0
|
|---|
| 16321 | dimension 20
|
|---|
| 16322 | uid 74,0
|
|---|
| 16323 | )
|
|---|
| 16324 | *634 (MRCItem
|
|---|
| 16325 | litem &459
|
|---|
| 16326 | pos 1
|
|---|
| 16327 | dimension 50
|
|---|
| 16328 | uid 75,0
|
|---|
| 16329 | )
|
|---|
| 16330 | *635 (MRCItem
|
|---|
| 16331 | litem &460
|
|---|
| 16332 | pos 2
|
|---|
| 16333 | dimension 100
|
|---|
| 16334 | uid 76,0
|
|---|
| 16335 | )
|
|---|
| 16336 | *636 (MRCItem
|
|---|
| 16337 | litem &461
|
|---|
| 16338 | pos 3
|
|---|
| 16339 | dimension 50
|
|---|
| 16340 | uid 77,0
|
|---|
| 16341 | )
|
|---|
| 16342 | *637 (MRCItem
|
|---|
| 16343 | litem &462
|
|---|
| 16344 | pos 4
|
|---|
| 16345 | dimension 100
|
|---|
| 16346 | uid 78,0
|
|---|
| 16347 | )
|
|---|
| 16348 | *638 (MRCItem
|
|---|
| 16349 | litem &463
|
|---|
| 16350 | pos 5
|
|---|
| 16351 | dimension 100
|
|---|
| 16352 | uid 79,0
|
|---|
| 16353 | )
|
|---|
| 16354 | *639 (MRCItem
|
|---|
| 16355 | litem &464
|
|---|
| 16356 | pos 6
|
|---|
| 16357 | dimension 50
|
|---|
| 16358 | uid 80,0
|
|---|
| 16359 | )
|
|---|
| 16360 | *640 (MRCItem
|
|---|
| 16361 | litem &465
|
|---|
| 16362 | pos 7
|
|---|
| 16363 | dimension 80
|
|---|
| 16364 | uid 81,0
|
|---|
| 16365 | )
|
|---|
| 16366 | ]
|
|---|
| 16367 | )
|
|---|
| 16368 | fixedCol 4
|
|---|
| 16369 | fixedRow 2
|
|---|
| 16370 | name "Ports"
|
|---|
| 16371 | uid 68,0
|
|---|
| 16372 | vaOverrides [
|
|---|
| 16373 | ]
|
|---|
| 16374 | )
|
|---|
| 16375 | ]
|
|---|
| 16376 | )
|
|---|
| 16377 | uid 53,0
|
|---|
| 16378 | )
|
|---|
| 16379 | genericsCommonDM (CommonDM
|
|---|
| 16380 | ldm (LogicalDM
|
|---|
| 16381 | emptyRow *641 (LEmptyRow
|
|---|
| 16382 | )
|
|---|
| 16383 | uid 83,0
|
|---|
| 16384 | optionalChildren [
|
|---|
| 16385 | *642 (RefLabelRowHdr
|
|---|
| 16386 | )
|
|---|
| 16387 | *643 (TitleRowHdr
|
|---|
| 16388 | )
|
|---|
| 16389 | *644 (FilterRowHdr
|
|---|
| 16390 | )
|
|---|
| 16391 | *645 (RefLabelColHdr
|
|---|
| 16392 | tm "RefLabelColHdrMgr"
|
|---|
| 16393 | )
|
|---|
| 16394 | *646 (RowExpandColHdr
|
|---|
| 16395 | tm "RowExpandColHdrMgr"
|
|---|
| 16396 | )
|
|---|
| 16397 | *647 (GroupColHdr
|
|---|
| 16398 | tm "GroupColHdrMgr"
|
|---|
| 16399 | )
|
|---|
| 16400 | *648 (NameColHdr
|
|---|
| 16401 | tm "GenericNameColHdrMgr"
|
|---|
| 16402 | )
|
|---|
| 16403 | *649 (TypeColHdr
|
|---|
| 16404 | tm "GenericTypeColHdrMgr"
|
|---|
| 16405 | )
|
|---|
| 16406 | *650 (InitColHdr
|
|---|
| 16407 | tm "GenericValueColHdrMgr"
|
|---|
| 16408 | )
|
|---|
| 16409 | *651 (PragmaColHdr
|
|---|
| 16410 | tm "GenericPragmaColHdrMgr"
|
|---|
| 16411 | )
|
|---|
| 16412 | *652 (EolColHdr
|
|---|
| 16413 | tm "GenericEolColHdrMgr"
|
|---|
| 16414 | )
|
|---|
| 16415 | *653 (LogGeneric
|
|---|
| 16416 | generic (GiElement
|
|---|
| 16417 | name "RAMADDRWIDTH64b"
|
|---|
| 16418 | type "integer"
|
|---|
| 16419 | value "12"
|
|---|
| 16420 | )
|
|---|
| 16421 | uid 6712,0
|
|---|
| 16422 | )
|
|---|
| 16423 | ]
|
|---|
| 16424 | )
|
|---|
| 16425 | pdm (PhysicalDM
|
|---|
| 16426 | displayShortBounds 1
|
|---|
| 16427 | editShortBounds 1
|
|---|
| 16428 | uid 95,0
|
|---|
| 16429 | optionalChildren [
|
|---|
| 16430 | *654 (Sheet
|
|---|
| 16431 | sheetRow (SheetRow
|
|---|
| 16432 | headerVa (MVa
|
|---|
| 16433 | cellColor "49152,49152,49152"
|
|---|
| 16434 | fontColor "0,0,0"
|
|---|
| 16435 | font "Tahoma,10,0"
|
|---|
| 16436 | )
|
|---|
| 16437 | cellVa (MVa
|
|---|
| 16438 | cellColor "65535,65535,65535"
|
|---|
| 16439 | fontColor "0,0,0"
|
|---|
| 16440 | font "Tahoma,10,0"
|
|---|
| 16441 | )
|
|---|
| 16442 | groupVa (MVa
|
|---|
| 16443 | cellColor "39936,56832,65280"
|
|---|
| 16444 | fontColor "0,0,0"
|
|---|
| 16445 | font "Tahoma,10,0"
|
|---|
| 16446 | )
|
|---|
| 16447 | emptyMRCItem *655 (MRCItem
|
|---|
| 16448 | litem &641
|
|---|
| 16449 | pos 1
|
|---|
| 16450 | dimension 20
|
|---|
| 16451 | )
|
|---|
| 16452 | uid 97,0
|
|---|
| 16453 | optionalChildren [
|
|---|
| 16454 | *656 (MRCItem
|
|---|
| 16455 | litem &642
|
|---|
| 16456 | pos 0
|
|---|
| 16457 | dimension 20
|
|---|
| 16458 | uid 98,0
|
|---|
| 16459 | )
|
|---|
| 16460 | *657 (MRCItem
|
|---|
| 16461 | litem &643
|
|---|
| 16462 | pos 1
|
|---|
| 16463 | dimension 23
|
|---|
| 16464 | uid 99,0
|
|---|
| 16465 | )
|
|---|
| 16466 | *658 (MRCItem
|
|---|
| 16467 | litem &644
|
|---|
| 16468 | pos 2
|
|---|
| 16469 | hidden 1
|
|---|
| 16470 | dimension 20
|
|---|
| 16471 | uid 100,0
|
|---|
| 16472 | )
|
|---|
| 16473 | *659 (MRCItem
|
|---|
| 16474 | litem &653
|
|---|
| 16475 | pos 0
|
|---|
| 16476 | dimension 20
|
|---|
| 16477 | uid 6713,0
|
|---|
| 16478 | )
|
|---|
| 16479 | ]
|
|---|
| 16480 | )
|
|---|
| 16481 | sheetCol (SheetCol
|
|---|
| 16482 | propVa (MVa
|
|---|
| 16483 | cellColor "0,49152,49152"
|
|---|
| 16484 | fontColor "0,0,0"
|
|---|
| 16485 | font "Tahoma,10,0"
|
|---|
| 16486 | textAngle 90
|
|---|
| 16487 | )
|
|---|
| 16488 | uid 101,0
|
|---|
| 16489 | optionalChildren [
|
|---|
| 16490 | *660 (MRCItem
|
|---|
| 16491 | litem &645
|
|---|
| 16492 | pos 0
|
|---|
| 16493 | dimension 20
|
|---|
| 16494 | uid 102,0
|
|---|
| 16495 | )
|
|---|
| 16496 | *661 (MRCItem
|
|---|
| 16497 | litem &647
|
|---|
| 16498 | pos 1
|
|---|
| 16499 | dimension 50
|
|---|
| 16500 | uid 103,0
|
|---|
| 16501 | )
|
|---|
| 16502 | *662 (MRCItem
|
|---|
| 16503 | litem &648
|
|---|
| 16504 | pos 2
|
|---|
| 16505 | dimension 186
|
|---|
| 16506 | uid 104,0
|
|---|
| 16507 | )
|
|---|
| 16508 | *663 (MRCItem
|
|---|
| 16509 | litem &649
|
|---|
| 16510 | pos 3
|
|---|
| 16511 | dimension 96
|
|---|
| 16512 | uid 105,0
|
|---|
| 16513 | )
|
|---|
| 16514 | *664 (MRCItem
|
|---|
| 16515 | litem &650
|
|---|
| 16516 | pos 4
|
|---|
| 16517 | dimension 50
|
|---|
| 16518 | uid 106,0
|
|---|
| 16519 | )
|
|---|
| 16520 | *665 (MRCItem
|
|---|
| 16521 | litem &651
|
|---|
| 16522 | pos 5
|
|---|
| 16523 | dimension 50
|
|---|
| 16524 | uid 107,0
|
|---|
| 16525 | )
|
|---|
| 16526 | *666 (MRCItem
|
|---|
| 16527 | litem &652
|
|---|
| 16528 | pos 6
|
|---|
| 16529 | dimension 80
|
|---|
| 16530 | uid 108,0
|
|---|
| 16531 | )
|
|---|
| 16532 | ]
|
|---|
| 16533 | )
|
|---|
| 16534 | fixedCol 3
|
|---|
| 16535 | fixedRow 2
|
|---|
| 16536 | name "Ports"
|
|---|
| 16537 | uid 96,0
|
|---|
| 16538 | vaOverrides [
|
|---|
| 16539 | ]
|
|---|
| 16540 | )
|
|---|
| 16541 | ]
|
|---|
| 16542 | )
|
|---|
| 16543 | uid 82,0
|
|---|
| 16544 | type 1
|
|---|
| 16545 | )
|
|---|
| 16546 | activeModelName "BlockDiag"
|
|---|
| 16547 | )
|
|---|