source: FPGA/FAD/stable/FACT_FAD/FACT_FAD_lib/hds/@f@a@d_main/struct.bd@ 246

Last change on this file since 246 was 246, checked in by dneise, 15 years ago
initial check in of 1st stable version.
File size: 209.9 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "IEEE"
11unitName "STD_LOGIC_ARITH"
12)
13(DmPackageRef
14library "ieee"
15unitName "STD_LOGIC_UNSIGNED"
16)
17(DmPackageRef
18library "fact_fad_lib"
19unitName "fad_definitions"
20)
21(DmPackageRef
22library "UNISIM"
23unitName "VComponents"
24)
25(DmPackageRef
26library "IEEE"
27unitName "NUMERIC_STD"
28)
29(DmPackageRef
30library "IEEE"
31unitName "std_logic_signed"
32)
33]
34instances [
35(Instance
36name "I_main_data_generator"
37duLibraryName "FACT_FAD_lib"
38duName "data_generator"
39elements [
40(GiElement
41name "RAM_ADDR_WIDTH"
42type "integer"
43value "RAMADDRWIDTH64b"
44)
45]
46mwi 0
47uid 1399,0
48)
49(Instance
50name "I_main_ethernet"
51duLibraryName "FACT_FAD_lib"
52duName "w5300_modul"
53elements [
54(GiElement
55name "RAM_ADDR_WIDTH"
56type "integer"
57value "RAMADDRWIDTH64b+2"
58)
59]
60mwi 0
61uid 1606,0
62)
63(Instance
64name "I_main_ext_trigger"
65duLibraryName "FACT_FAD_LIB"
66duName "trigger_counter"
67elements [
68]
69mwi 0
70uid 1768,0
71)
72(Instance
73name "I_main_memory_manager"
74duLibraryName "FACT_FAD_lib"
75duName "memory_manager"
76elements [
77(GiElement
78name "RAM_ADDR_WIDTH_64B"
79type "integer"
80value "RAMADDRWIDTH64b"
81)
82(GiElement
83name "RAM_ADDR_WIDTH_16B"
84type "integer"
85value "RAMADDRWIDTH64b+2"
86)
87]
88mwi 0
89uid 2311,0
90)
91(Instance
92name "I_main_clock_gen"
93duLibraryName "FACT_FAD_lib"
94duName "clock_generator"
95elements [
96]
97mwi 0
98uid 4194,0
99)
100(Instance
101name "I_main_drs_pulser"
102duLibraryName "FACT_FAD_LIB"
103duName "drs_pulser"
104elements [
105]
106mwi 0
107uid 4903,0
108)
109(Instance
110name "I_main_control_unit"
111duLibraryName "FACT_FAD_lib"
112duName "control_unit"
113elements [
114]
115mwi 0
116uid 5072,0
117)
118(Instance
119name "I_main_adc_buffer"
120duLibraryName "FACT_FAD_lib"
121duName "adc_buffer"
122elements [
123]
124mwi 0
125uid 5678,0
126)
127(Instance
128name "I_main_SPI_interface"
129duLibraryName "FACT_FAD_lib"
130duName "spi_interface"
131elements [
132]
133mwi 0
134uid 5793,0
135)
136(Instance
137name "I5"
138duLibraryName "moduleware"
139duName "and"
140elements [
141]
142mwi 1
143uid 6529,0
144)
145(Instance
146name "U_4"
147duLibraryName "FACT_FAD_lib"
148duName "dataRAM_64b_16b_width14_5"
149elements [
150]
151mwi 0
152uid 8277,0
153)
154]
155libraryRefs [
156"ieee"
157"fact_fad_lib"
158"UNISIM"
159]
160)
161version "29.1"
162appVersion "2009.2 (Build 10)"
163noEmbeddedEditors 1
164model (BlockDiag
165VExpander (VariableExpander
166vvMap [
167(vvPair
168variable "HDLDir"
169value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hdl"
170)
171(vvPair
172variable "HDSDir"
173value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds"
174)
175(vvPair
176variable "SideDataDesignDir"
177value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.info"
178)
179(vvPair
180variable "SideDataUserDir"
181value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd.user"
182)
183(vvPair
184variable "SourceDir"
185value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds"
186)
187(vvPair
188variable "appl"
189value "HDL Designer"
190)
191(vvPair
192variable "arch_name"
193value "struct"
194)
195(vvPair
196variable "config"
197value "%(unit)_%(view)_config"
198)
199(vvPair
200variable "d"
201value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main"
202)
203(vvPair
204variable "d_logical"
205value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_main"
206)
207(vvPair
208variable "date"
209value "02.07.2010"
210)
211(vvPair
212variable "day"
213value "Fr"
214)
215(vvPair
216variable "day_long"
217value "Freitag"
218)
219(vvPair
220variable "dd"
221value "02"
222)
223(vvPair
224variable "entity_name"
225value "FAD_main"
226)
227(vvPair
228variable "ext"
229value "<TBD>"
230)
231(vvPair
232variable "f"
233value "struct.bd"
234)
235(vvPair
236variable "f_logical"
237value "struct.bd"
238)
239(vvPair
240variable "f_noext"
241value "struct"
242)
243(vvPair
244variable "group"
245value "UNKNOWN"
246)
247(vvPair
248variable "host"
249value "TU-CC4900F8C7D2"
250)
251(vvPair
252variable "language"
253value "VHDL"
254)
255(vvPair
256variable "library"
257value "FACT_FAD_lib"
258)
259(vvPair
260variable "library_downstream_HdsLintPlugin"
261value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
262)
263(vvPair
264variable "library_downstream_ISEPARInvoke"
265value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
266)
267(vvPair
268variable "library_downstream_ImpactInvoke"
269value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
270)
271(vvPair
272variable "library_downstream_ModelSimCompiler"
273value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
274)
275(vvPair
276variable "library_downstream_PrecisionSynthesisDataPrep"
277value "$HDS_PROJECT_DIR/FACT_FAD_lib/ps"
278)
279(vvPair
280variable "library_downstream_XSTDataPrep"
281value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
282)
283(vvPair
284variable "mm"
285value "07"
286)
287(vvPair
288variable "module_name"
289value "FAD_main"
290)
291(vvPair
292variable "month"
293value "Jul"
294)
295(vvPair
296variable "month_long"
297value "Juli"
298)
299(vvPair
300variable "p"
301value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\@f@a@d_main\\struct.bd"
302)
303(vvPair
304variable "p_logical"
305value "C:\\FPGA_projects\\FACT_FAD_02072010\\FACT_FAD\\FACT_FAD_lib\\hds\\FAD_main\\struct.bd"
306)
307(vvPair
308variable "package_name"
309value "<Undefined Variable>"
310)
311(vvPair
312variable "project_name"
313value "FACT_FAD"
314)
315(vvPair
316variable "series"
317value "HDL Designer Series"
318)
319(vvPair
320variable "task_DesignCompilerPath"
321value "<TBD>"
322)
323(vvPair
324variable "task_LeonardoPath"
325value "<TBD>"
326)
327(vvPair
328variable "task_ModelSimPath"
329value "<TBD>"
330)
331(vvPair
332variable "task_NC-SimPath"
333value "<TBD>"
334)
335(vvPair
336variable "task_PrecisionRTLPath"
337value "<TBD>"
338)
339(vvPair
340variable "task_QuestaSimPath"
341value "<TBD>"
342)
343(vvPair
344variable "task_VCSPath"
345value "<TBD>"
346)
347(vvPair
348variable "this_ext"
349value "bd"
350)
351(vvPair
352variable "this_file"
353value "struct"
354)
355(vvPair
356variable "this_file_logical"
357value "struct"
358)
359(vvPair
360variable "time"
361value "10:38:34"
362)
363(vvPair
364variable "unit"
365value "FAD_main"
366)
367(vvPair
368variable "user"
369value "dneise"
370)
371(vvPair
372variable "version"
373value "2009.2 (Build 10)"
374)
375(vvPair
376variable "view"
377value "struct"
378)
379(vvPair
380variable "year"
381value "2010"
382)
383(vvPair
384variable "yy"
385value "10"
386)
387]
388)
389LanguageMgr "VhdlLangMgr"
390uid 52,0
391optionalChildren [
392*1 (PortIoIn
393uid 290,0
394shape (CompositeShape
395uid 291,0
396va (VaSet
397vasetType 1
398fg "0,0,32768"
399)
400optionalChildren [
401(Pentagon
402uid 292,0
403sl 0
404ro 270
405xt "-28000,18625,-26500,19375"
406)
407(Line
408uid 293,0
409sl 0
410ro 270
411xt "-26500,19000,-26000,19000"
412pts [
413"-26500,19000"
414"-26000,19000"
415]
416)
417]
418)
419stc 0
420sf 1
421tg (WTG
422uid 294,0
423ps "PortIoTextPlaceStrategy"
424stg "STSignalDisplayStrategy"
425f (Text
426uid 295,0
427va (VaSet
428)
429xt "-30900,18500,-29000,19500"
430st "CLK"
431ju 2
432blo "-29000,19300"
433tm "WireNameMgr"
434)
435)
436)
437*2 (Net
438uid 320,0
439decl (Decl
440n "write_ea"
441t "std_logic_vector"
442b "(0 downto 0)"
443o 80
444suid 2,0
445i "\"0\""
446)
447declText (MLText
448uid 321,0
449va (VaSet
450font "Courier New,8,0"
451)
452xt "-85000,84200,-41500,85000"
453st "SIGNAL write_ea : std_logic_vector(0 downto 0) := \"0\""
454)
455)
456*3 (Net
457uid 326,0
458decl (Decl
459n "addr_out"
460t "std_logic_vector"
461b "(RAMADDRWIDTH64b-1 DOWNTO 0)"
462o 35
463suid 3,0
464)
465declText (MLText
466uid 327,0
467va (VaSet
468font "Courier New,8,0"
469)
470xt "-85000,47400,-45000,48200"
471st "SIGNAL addr_out : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
472)
473)
474*4 (Net
475uid 332,0
476decl (Decl
477n "data_out"
478t "std_logic_vector"
479b "(63 DOWNTO 0)"
480o 53
481suid 4,0
482)
483declText (MLText
484uid 333,0
485va (VaSet
486font "Courier New,8,0"
487)
488xt "-85000,61800,-52500,62600"
489st "SIGNAL data_out : std_logic_vector(63 DOWNTO 0)"
490)
491)
492*5 (Net
493uid 362,0
494decl (Decl
495n "ram_addr"
496t "std_logic_vector"
497b "(RAMADDRWIDTH64b+1 DOWNTO 0)"
498o 62
499suid 9,0
500)
501declText (MLText
502uid 363,0
503va (VaSet
504font "Courier New,8,0"
505)
506xt "-85000,69000,-45000,69800"
507st "SIGNAL ram_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0)"
508)
509)
510*6 (Net
511uid 368,0
512decl (Decl
513n "ram_data"
514t "std_logic_vector"
515b "(15 downto 0)"
516o 63
517suid 10,0
518)
519declText (MLText
520uid 369,0
521va (VaSet
522font "Courier New,8,0"
523)
524xt "-85000,69800,-52500,70600"
525st "SIGNAL ram_data : std_logic_vector(15 downto 0)"
526)
527)
528*7 (Net
529uid 374,0
530decl (Decl
531n "wiz_reset"
532t "std_logic"
533o 28
534suid 11,0
535i "'1'"
536)
537declText (MLText
538uid 375,0
539va (VaSet
540font "Courier New,8,0"
541)
542xt "-85000,39800,-45000,40600"
543st "wiz_reset : std_logic := '1'"
544)
545)
546*8 (Net
547uid 382,0
548decl (Decl
549n "wiz_addr"
550t "std_logic_vector"
551b "(9 DOWNTO 0)"
552o 25
553suid 12,0
554)
555declText (MLText
556uid 383,0
557va (VaSet
558font "Courier New,8,0"
559)
560xt "-85000,37400,-56500,38200"
561st "wiz_addr : std_logic_vector(9 DOWNTO 0)"
562)
563)
564*9 (Net
565uid 390,0
566decl (Decl
567n "wiz_data"
568t "std_logic_vector"
569b "(15 DOWNTO 0)"
570o 31
571suid 13,0
572)
573declText (MLText
574uid 391,0
575va (VaSet
576font "Courier New,8,0"
577)
578xt "-85000,42200,-56000,43000"
579st "wiz_data : std_logic_vector(15 DOWNTO 0)"
580)
581)
582*10 (Net
583uid 398,0
584decl (Decl
585n "wiz_cs"
586t "std_logic"
587o 26
588suid 14,0
589i "'1'"
590)
591declText (MLText
592uid 399,0
593va (VaSet
594font "Courier New,8,0"
595)
596xt "-85000,38200,-45000,39000"
597st "wiz_cs : std_logic := '1'"
598)
599)
600*11 (Net
601uid 406,0
602decl (Decl
603n "wiz_wr"
604t "std_logic"
605o 29
606suid 15,0
607i "'1'"
608)
609declText (MLText
610uid 407,0
611va (VaSet
612font "Courier New,8,0"
613)
614xt "-85000,40600,-45000,41400"
615st "wiz_wr : std_logic := '1'"
616)
617)
618*12 (Net
619uid 422,0
620decl (Decl
621n "wiz_rd"
622t "std_logic"
623o 27
624suid 17,0
625i "'1'"
626)
627declText (MLText
628uid 423,0
629va (VaSet
630font "Courier New,8,0"
631)
632xt "-85000,39000,-45000,39800"
633st "wiz_rd : std_logic := '1'"
634)
635)
636*13 (Net
637uid 430,0
638decl (Decl
639n "wiz_int"
640t "std_logic"
641o 11
642suid 18,0
643)
644declText (MLText
645uid 431,0
646va (VaSet
647font "Courier New,8,0"
648)
649xt "-85000,26200,-66500,27000"
650st "wiz_int : std_logic"
651)
652)
653*14 (PortIoOut
654uid 454,0
655shape (CompositeShape
656uid 455,0
657va (VaSet
658vasetType 1
659fg "0,0,32768"
660)
661optionalChildren [
662(Pentagon
663uid 456,0
664sl 0
665ro 270
666xt "153500,51625,155000,52375"
667)
668(Line
669uid 457,0
670sl 0
671ro 270
672xt "153000,52000,153500,52000"
673pts [
674"153000,52000"
675"153500,52000"
676]
677)
678]
679)
680sf 1
681tg (WTG
682uid 458,0
683ps "PortIoTextPlaceStrategy"
684stg "STSignalDisplayStrategy"
685f (Text
686uid 459,0
687va (VaSet
688)
689xt "156000,51500,159600,52500"
690st "wiz_reset"
691blo "156000,52300"
692tm "WireNameMgr"
693)
694)
695)
696*15 (PortIoOut
697uid 460,0
698shape (CompositeShape
699uid 461,0
700va (VaSet
701vasetType 1
702fg "0,0,32768"
703)
704optionalChildren [
705(Pentagon
706uid 462,0
707sl 0
708ro 270
709xt "153500,59625,155000,60375"
710)
711(Line
712uid 463,0
713sl 0
714ro 270
715xt "153000,60000,153500,60000"
716pts [
717"153000,60000"
718"153500,60000"
719]
720)
721]
722)
723sf 1
724tg (WTG
725uid 464,0
726ps "PortIoTextPlaceStrategy"
727stg "STSignalDisplayStrategy"
728f (Text
729uid 465,0
730va (VaSet
731)
732xt "156000,59500,162000,60500"
733st "wiz_addr : (9:0)"
734blo "156000,60300"
735tm "WireNameMgr"
736)
737)
738)
739*16 (PortIoInOut
740uid 466,0
741shape (CompositeShape
742uid 467,0
743va (VaSet
744vasetType 1
745fg "0,0,32768"
746)
747optionalChildren [
748(Hexagon
749uid 468,0
750sl 0
751xt "153500,60625,155000,61375"
752)
753(Line
754uid 469,0
755sl 0
756xt "153000,61000,153500,61000"
757pts [
758"153000,61000"
759"153500,61000"
760]
761)
762]
763)
764sf 1
765tg (WTG
766uid 470,0
767ps "PortIoTextPlaceStrategy"
768stg "STSignalDisplayStrategy"
769f (Text
770uid 471,0
771va (VaSet
772)
773xt "156000,60500,162300,61500"
774st "wiz_data : (15:0)"
775blo "156000,61300"
776tm "WireNameMgr"
777)
778)
779)
780*17 (PortIoOut
781uid 472,0
782shape (CompositeShape
783uid 473,0
784va (VaSet
785vasetType 1
786fg "0,0,32768"
787)
788optionalChildren [
789(Pentagon
790uid 474,0
791sl 0
792ro 270
793xt "153500,52625,155000,53375"
794)
795(Line
796uid 475,0
797sl 0
798ro 270
799xt "153000,53000,153500,53000"
800pts [
801"153000,53000"
802"153500,53000"
803]
804)
805]
806)
807stc 0
808sf 1
809tg (WTG
810uid 476,0
811ps "PortIoTextPlaceStrategy"
812stg "STSignalDisplayStrategy"
813f (Text
814uid 477,0
815va (VaSet
816)
817xt "156000,52500,158700,53500"
818st "wiz_cs"
819blo "156000,53300"
820tm "WireNameMgr"
821)
822)
823)
824*18 (PortIoOut
825uid 478,0
826shape (CompositeShape
827uid 479,0
828va (VaSet
829vasetType 1
830fg "0,0,32768"
831)
832optionalChildren [
833(Pentagon
834uid 480,0
835sl 0
836ro 270
837xt "153500,53625,155000,54375"
838)
839(Line
840uid 481,0
841sl 0
842ro 270
843xt "153000,54000,153500,54000"
844pts [
845"153000,54000"
846"153500,54000"
847]
848)
849]
850)
851stc 0
852sf 1
853tg (WTG
854uid 482,0
855ps "PortIoTextPlaceStrategy"
856stg "STSignalDisplayStrategy"
857f (Text
858uid 483,0
859va (VaSet
860)
861xt "156000,53500,158700,54500"
862st "wiz_wr"
863blo "156000,54300"
864tm "WireNameMgr"
865)
866)
867)
868*19 (PortIoOut
869uid 484,0
870shape (CompositeShape
871uid 485,0
872va (VaSet
873vasetType 1
874fg "0,0,32768"
875)
876optionalChildren [
877(Pentagon
878uid 486,0
879sl 0
880ro 270
881xt "153500,70625,155000,71375"
882)
883(Line
884uid 487,0
885sl 0
886ro 270
887xt "153000,71000,153500,71000"
888pts [
889"153000,71000"
890"153500,71000"
891]
892)
893]
894)
895sf 1
896tg (WTG
897uid 488,0
898ps "PortIoTextPlaceStrategy"
899stg "STSignalDisplayStrategy"
900f (Text
901uid 489,0
902va (VaSet
903)
904xt "156000,70500,160000,71500"
905st "led : (7:0)"
906blo "156000,71300"
907tm "WireNameMgr"
908)
909)
910)
911*20 (PortIoOut
912uid 490,0
913shape (CompositeShape
914uid 491,0
915va (VaSet
916vasetType 1
917fg "0,0,32768"
918)
919optionalChildren [
920(Pentagon
921uid 492,0
922sl 0
923ro 270
924xt "153500,54625,155000,55375"
925)
926(Line
927uid 493,0
928sl 0
929ro 270
930xt "153000,55000,153500,55000"
931pts [
932"153000,55000"
933"153500,55000"
934]
935)
936]
937)
938stc 0
939sf 1
940tg (WTG
941uid 494,0
942ps "PortIoTextPlaceStrategy"
943stg "STSignalDisplayStrategy"
944f (Text
945uid 495,0
946va (VaSet
947)
948xt "156000,54500,158600,55500"
949st "wiz_rd"
950blo "156000,55300"
951tm "WireNameMgr"
952)
953)
954)
955*21 (PortIoIn
956uid 496,0
957shape (CompositeShape
958uid 497,0
959va (VaSet
960vasetType 1
961fg "0,0,32768"
962)
963optionalChildren [
964(Pentagon
965uid 498,0
966sl 0
967ro 90
968xt "153500,55625,155000,56375"
969)
970(Line
971uid 499,0
972sl 0
973ro 90
974xt "153000,56000,153500,56000"
975pts [
976"153500,56000"
977"153000,56000"
978]
979)
980]
981)
982stc 0
983sf 1
984tg (WTG
985uid 500,0
986ps "PortIoTextPlaceStrategy"
987stg "STSignalDisplayStrategy"
988f (Text
989uid 501,0
990va (VaSet
991)
992xt "156000,55500,158700,56500"
993st "wiz_int"
994blo "156000,56300"
995tm "WireNameMgr"
996)
997)
998)
999*22 (SaComponent
1000uid 1399,0
1001optionalChildren [
1002*23 (CptPort
1003uid 1352,0
1004ps "OnEdgeStrategy"
1005shape (Triangle
1006uid 1353,0
1007ro 90
1008va (VaSet
1009vasetType 1
1010fg "0,65535,0"
1011)
1012xt "18250,47625,19000,48375"
1013)
1014tg (CPTG
1015uid 1354,0
1016ps "CptPortTextPlaceStrategy"
1017stg "VerticalLayoutStrategy"
1018f (Text
1019uid 1355,0
1020va (VaSet
1021)
1022xt "20000,47500,21300,48500"
1023st "clk"
1024blo "20000,48300"
1025)
1026)
1027thePort (LogicalPort
1028decl (Decl
1029n "clk"
1030t "std_logic"
1031preAdd 0
1032posAdd 0
1033o 1
1034suid 1,0
1035)
1036)
1037)
1038*24 (CptPort
1039uid 1356,0
1040ps "OnEdgeStrategy"
1041shape (Triangle
1042uid 1357,0
1043ro 90
1044va (VaSet
1045vasetType 1
1046fg "0,65535,0"
1047)
1048xt "40000,49625,40750,50375"
1049)
1050tg (CPTG
1051uid 1358,0
1052ps "CptPortTextPlaceStrategy"
1053stg "RightVerticalLayoutStrategy"
1054f (Text
1055uid 1359,0
1056va (VaSet
1057)
1058xt "32800,49500,39000,50500"
1059st "data_out : (63:0)"
1060ju 2
1061blo "39000,50300"
1062)
1063)
1064thePort (LogicalPort
1065m 1
1066decl (Decl
1067n "data_out"
1068t "std_logic_vector"
1069b "(63 downto 0)"
1070preAdd 0
1071posAdd 0
1072o 2
1073suid 2,0
1074)
1075)
1076)
1077*25 (CptPort
1078uid 1360,0
1079ps "OnEdgeStrategy"
1080shape (Triangle
1081uid 1361,0
1082ro 90
1083va (VaSet
1084vasetType 1
1085fg "0,65535,0"
1086)
1087xt "40000,48625,40750,49375"
1088)
1089tg (CPTG
1090uid 1362,0
1091ps "CptPortTextPlaceStrategy"
1092stg "RightVerticalLayoutStrategy"
1093f (Text
1094uid 1363,0
1095va (VaSet
1096)
1097xt "24900,48500,39000,49500"
1098st "addr_out : (RAM_ADDR_WIDTH-1:0)"
1099ju 2
1100blo "39000,49300"
1101)
1102)
1103thePort (LogicalPort
1104m 1
1105decl (Decl
1106n "addr_out"
1107t "std_logic_vector"
1108b "(RAM_ADDR_WIDTH-1 downto 0)"
1109preAdd 0
1110posAdd 0
1111o 3
1112suid 3,0
1113)
1114)
1115)
1116*26 (CptPort
1117uid 1372,0
1118ps "OnEdgeStrategy"
1119shape (Triangle
1120uid 1373,0
1121ro 90
1122va (VaSet
1123vasetType 1
1124fg "0,65535,0"
1125)
1126xt "40000,47625,40750,48375"
1127)
1128tg (CPTG
1129uid 1374,0
1130ps "CptPortTextPlaceStrategy"
1131stg "RightVerticalLayoutStrategy"
1132f (Text
1133uid 1375,0
1134va (VaSet
1135)
1136xt "33200,47500,39000,48500"
1137st "write_ea : (0:0)"
1138ju 2
1139blo "39000,48300"
1140)
1141)
1142thePort (LogicalPort
1143m 1
1144decl (Decl
1145n "write_ea"
1146t "std_logic_vector"
1147b "(0 downto 0)"
1148preAdd 0
1149posAdd 0
1150o 4
1151suid 6,0
1152i "\"0\""
1153)
1154)
1155)
1156*27 (CptPort
1157uid 1376,0
1158ps "OnEdgeStrategy"
1159shape (Triangle
1160uid 2344,0
1161ro 270
1162va (VaSet
1163vasetType 1
1164fg "0,65535,0"
1165)
1166xt "40000,62625,40750,63375"
1167)
1168tg (CPTG
1169uid 1378,0
1170ps "CptPortTextPlaceStrategy"
1171stg "RightVerticalLayoutStrategy"
1172f (Text
1173uid 1379,0
1174va (VaSet
1175)
1176xt "22700,62500,39000,63500"
1177st "ram_start_addr : (RAM_ADDR_WIDTH-1:0)"
1178ju 2
1179blo "39000,63300"
1180)
1181)
1182thePort (LogicalPort
1183decl (Decl
1184n "ram_start_addr"
1185t "std_logic_vector"
1186b "(RAM_ADDR_WIDTH-1 downto 0)"
1187preAdd 0
1188posAdd 0
1189o 5
1190suid 7,0
1191)
1192)
1193)
1194*28 (CptPort
1195uid 1384,0
1196ps "OnEdgeStrategy"
1197shape (Triangle
1198uid 1385,0
1199ro 90
1200va (VaSet
1201vasetType 1
1202fg "0,65535,0"
1203)
1204xt "18250,85625,19000,86375"
1205)
1206tg (CPTG
1207uid 1386,0
1208ps "CptPortTextPlaceStrategy"
1209stg "VerticalLayoutStrategy"
1210f (Text
1211uid 1387,0
1212va (VaSet
1213)
1214xt "20000,85500,25900,86500"
1215st "board_id : (3:0)"
1216blo "20000,86300"
1217)
1218)
1219thePort (LogicalPort
1220decl (Decl
1221n "board_id"
1222t "std_logic_vector"
1223b "(3 downto 0)"
1224preAdd 0
1225posAdd 0
1226o 23
1227suid 9,0
1228)
1229)
1230)
1231*29 (CptPort
1232uid 1388,0
1233ps "OnEdgeStrategy"
1234shape (Triangle
1235uid 1389,0
1236ro 90
1237va (VaSet
1238vasetType 1
1239fg "0,65535,0"
1240)
1241xt "18250,67625,19000,68375"
1242)
1243tg (CPTG
1244uid 1390,0
1245ps "CptPortTextPlaceStrategy"
1246stg "VerticalLayoutStrategy"
1247f (Text
1248uid 1391,0
1249va (VaSet
1250)
1251xt "20000,67500,26800,68500"
1252st "trigger_id : (47:0)"
1253blo "20000,68300"
1254)
1255)
1256thePort (LogicalPort
1257decl (Decl
1258n "trigger_id"
1259t "std_logic_vector"
1260b "(47 downto 0)"
1261preAdd 0
1262posAdd 0
1263o 25
1264suid 10,0
1265)
1266)
1267)
1268*30 (CptPort
1269uid 1392,0
1270ps "OnEdgeStrategy"
1271shape (Triangle
1272uid 1393,0
1273ro 90
1274va (VaSet
1275vasetType 1
1276fg "0,65535,0"
1277)
1278xt "18250,68625,19000,69375"
1279)
1280tg (CPTG
1281uid 1394,0
1282ps "CptPortTextPlaceStrategy"
1283stg "VerticalLayoutStrategy"
1284f (Text
1285uid 1395,0
1286va (VaSet
1287)
1288xt "20000,68500,22800,69500"
1289st "trigger"
1290blo "20000,69300"
1291)
1292)
1293thePort (LogicalPort
1294decl (Decl
1295n "trigger"
1296t "std_logic"
1297preAdd 0
1298posAdd 0
1299o 26
1300suid 11,0
1301)
1302)
1303)
1304*31 (CptPort
1305uid 1676,0
1306ps "OnEdgeStrategy"
1307shape (Triangle
1308uid 1677,0
1309ro 90
1310va (VaSet
1311vasetType 1
1312fg "0,65535,0"
1313)
1314xt "18250,86625,19000,87375"
1315)
1316tg (CPTG
1317uid 1678,0
1318ps "CptPortTextPlaceStrategy"
1319stg "VerticalLayoutStrategy"
1320f (Text
1321uid 1679,0
1322va (VaSet
1323)
1324xt "20000,86500,25700,87500"
1325st "crate_id : (1:0)"
1326blo "20000,87300"
1327)
1328)
1329thePort (LogicalPort
1330decl (Decl
1331n "crate_id"
1332t "std_logic_vector"
1333b "(1 downto 0)"
1334o 24
1335suid 12,0
1336)
1337)
1338)
1339*32 (CptPort
1340uid 2562,0
1341ps "OnEdgeStrategy"
1342shape (Triangle
1343uid 2563,0
1344ro 270
1345va (VaSet
1346vasetType 1
1347fg "0,65535,0"
1348)
1349xt "40000,63625,40750,64375"
1350)
1351tg (CPTG
1352uid 2564,0
1353ps "CptPortTextPlaceStrategy"
1354stg "RightVerticalLayoutStrategy"
1355f (Text
1356uid 2565,0
1357va (VaSet
1358)
1359xt "33700,63500,39000,64500"
1360st "ram_write_ea"
1361ju 2
1362blo "39000,64300"
1363)
1364)
1365thePort (LogicalPort
1366decl (Decl
1367n "ram_write_ea"
1368t "std_logic"
1369o 6
1370suid 16,0
1371)
1372)
1373)
1374*33 (CptPort
1375uid 2566,0
1376ps "OnEdgeStrategy"
1377shape (Triangle
1378uid 2567,0
1379ro 90
1380va (VaSet
1381vasetType 1
1382fg "0,65535,0"
1383)
1384xt "40000,64625,40750,65375"
1385)
1386tg (CPTG
1387uid 2568,0
1388ps "CptPortTextPlaceStrategy"
1389stg "RightVerticalLayoutStrategy"
1390f (Text
1391uid 2569,0
1392va (VaSet
1393)
1394xt "32700,64500,39000,65500"
1395st "ram_write_ready"
1396ju 2
1397blo "39000,65300"
1398)
1399)
1400thePort (LogicalPort
1401m 1
1402decl (Decl
1403n "ram_write_ready"
1404t "std_logic"
1405o 7
1406suid 17,0
1407i "'0'"
1408)
1409)
1410)
1411*34 (CptPort
1412uid 2570,0
1413ps "OnEdgeStrategy"
1414shape (Triangle
1415uid 2571,0
1416ro 270
1417va (VaSet
1418vasetType 1
1419fg "0,65535,0"
1420)
1421xt "40000,73625,40750,74375"
1422)
1423tg (CPTG
1424uid 2572,0
1425ps "CptPortTextPlaceStrategy"
1426stg "RightVerticalLayoutStrategy"
1427f (Text
1428uid 2573,0
1429va (VaSet
1430)
1431xt "36000,73500,39000,74500"
1432st "roi_max"
1433ju 2
1434blo "39000,74300"
1435)
1436)
1437thePort (LogicalPort
1438decl (Decl
1439n "roi_max"
1440t "roi_max_type"
1441o 18
1442suid 18,0
1443)
1444)
1445)
1446*35 (CptPort
1447uid 2614,0
1448ps "OnEdgeStrategy"
1449shape (Triangle
1450uid 2615,0
1451ro 270
1452va (VaSet
1453vasetType 1
1454fg "0,65535,0"
1455)
1456xt "40000,79625,40750,80375"
1457)
1458tg (CPTG
1459uid 2616,0
1460ps "CptPortTextPlaceStrategy"
1461stg "RightVerticalLayoutStrategy"
1462f (Text
1463uid 2617,0
1464va (VaSet
1465)
1466xt "35600,79500,39000,80500"
1467st "roi_array"
1468ju 2
1469blo "39000,80300"
1470)
1471)
1472thePort (LogicalPort
1473decl (Decl
1474n "roi_array"
1475t "roi_array_type"
1476o 17
1477suid 19,0
1478)
1479)
1480)
1481*36 (CptPort
1482uid 2624,0
1483ps "OnEdgeStrategy"
1484shape (Triangle
1485uid 2625,0
1486ro 270
1487va (VaSet
1488vasetType 1
1489fg "0,65535,0"
1490)
1491xt "40000,74625,40750,75375"
1492)
1493tg (CPTG
1494uid 2626,0
1495ps "CptPortTextPlaceStrategy"
1496stg "RightVerticalLayoutStrategy"
1497f (Text
1498uid 2627,0
1499va (VaSet
1500)
1501xt "29900,74500,39000,75500"
1502st "package_length : (15:0)"
1503ju 2
1504blo "39000,75300"
1505)
1506)
1507thePort (LogicalPort
1508decl (Decl
1509n "package_length"
1510t "std_logic_vector"
1511b "(15 downto 0)"
1512o 22
1513suid 20,0
1514)
1515)
1516)
1517*37 (CptPort
1518uid 2760,0
1519ps "OnEdgeStrategy"
1520shape (Triangle
1521uid 2761,0
1522ro 270
1523va (VaSet
1524vasetType 1
1525fg "0,65535,0"
1526)
1527xt "18250,81625,19000,82375"
1528)
1529tg (CPTG
1530uid 2762,0
1531ps "CptPortTextPlaceStrategy"
1532stg "VerticalLayoutStrategy"
1533f (Text
1534uid 2763,0
1535va (VaSet
1536)
1537xt "20000,81500,23200,82500"
1538st "adc_oeb"
1539blo "20000,82300"
1540)
1541)
1542thePort (LogicalPort
1543m 1
1544decl (Decl
1545n "adc_oeb"
1546t "std_logic"
1547o 31
1548suid 23,0
1549i "'1'"
1550)
1551)
1552)
1553*38 (CptPort
1554uid 2764,0
1555ps "OnEdgeStrategy"
1556shape (Triangle
1557uid 2765,0
1558ro 90
1559va (VaSet
1560vasetType 1
1561fg "0,65535,0"
1562)
1563xt "18250,76625,19000,77375"
1564)
1565tg (CPTG
1566uid 2766,0
1567ps "CptPortTextPlaceStrategy"
1568stg "VerticalLayoutStrategy"
1569f (Text
1570uid 2767,0
1571va (VaSet
1572)
1573xt "20000,76500,25500,77500"
1574st "adc_otr : (3:0)"
1575blo "20000,77300"
1576)
1577)
1578thePort (LogicalPort
1579decl (Decl
1580n "adc_otr"
1581t "std_logic_vector"
1582b "(3 downto 0)"
1583o 32
1584suid 24,0
1585)
1586)
1587)
1588*39 (CptPort
1589uid 3918,0
1590ps "OnEdgeStrategy"
1591shape (Triangle
1592uid 3919,0
1593ro 270
1594va (VaSet
1595vasetType 1
1596fg "0,65535,0"
1597)
1598xt "18250,56625,19000,57375"
1599)
1600tg (CPTG
1601uid 3920,0
1602ps "CptPortTextPlaceStrategy"
1603stg "VerticalLayoutStrategy"
1604f (Text
1605uid 3921,0
1606va (VaSet
1607)
1608xt "20000,56500,28500,57500"
1609st "drs_channel_id : (3:0)"
1610blo "20000,57300"
1611)
1612)
1613thePort (LogicalPort
1614m 1
1615decl (Decl
1616n "drs_channel_id"
1617t "std_logic_vector"
1618b "(3 downto 0)"
1619o 33
1620suid 25,0
1621i "(others => '0')"
1622)
1623)
1624)
1625*40 (CptPort
1626uid 3922,0
1627ps "OnEdgeStrategy"
1628shape (Triangle
1629uid 3923,0
1630ro 270
1631va (VaSet
1632vasetType 1
1633fg "0,65535,0"
1634)
1635xt "18250,52625,19000,53375"
1636)
1637tg (CPTG
1638uid 3924,0
1639ps "CptPortTextPlaceStrategy"
1640stg "VerticalLayoutStrategy"
1641f (Text
1642uid 3925,0
1643va (VaSet
1644)
1645xt "20000,52500,24400,53500"
1646st "drs_clk_en"
1647blo "20000,53300"
1648)
1649)
1650thePort (LogicalPort
1651m 1
1652decl (Decl
1653n "drs_clk_en"
1654t "std_logic"
1655o 35
1656suid 26,0
1657i "'0'"
1658)
1659)
1660)
1661*41 (CptPort
1662uid 3926,0
1663ps "OnEdgeStrategy"
1664shape (Triangle
1665uid 3927,0
1666ro 270
1667va (VaSet
1668vasetType 1
1669fg "0,65535,0"
1670)
1671xt "18250,58625,19000,59375"
1672)
1673tg (CPTG
1674uid 3928,0
1675ps "CptPortTextPlaceStrategy"
1676stg "VerticalLayoutStrategy"
1677f (Text
1678uid 3929,0
1679va (VaSet
1680)
1681xt "20000,58500,24300,59500"
1682st "drs_dwrite"
1683blo "20000,59300"
1684)
1685)
1686thePort (LogicalPort
1687m 1
1688decl (Decl
1689n "drs_dwrite"
1690t "std_logic"
1691o 34
1692suid 36,0
1693i "'1'"
1694)
1695)
1696)
1697*42 (CptPort
1698uid 3930,0
1699ps "OnEdgeStrategy"
1700shape (Triangle
1701uid 3931,0
1702ro 270
1703va (VaSet
1704vasetType 1
1705fg "0,65535,0"
1706)
1707xt "18250,49625,19000,50375"
1708)
1709tg (CPTG
1710uid 3932,0
1711ps "CptPortTextPlaceStrategy"
1712stg "VerticalLayoutStrategy"
1713f (Text
1714uid 3933,0
1715va (VaSet
1716)
1717xt "20000,49500,26200,50500"
1718st "drs_read_s_cell"
1719blo "20000,50300"
1720)
1721)
1722thePort (LogicalPort
1723m 1
1724decl (Decl
1725n "drs_read_s_cell"
1726t "std_logic"
1727o 36
1728suid 33,0
1729i "'0'"
1730)
1731)
1732)
1733*43 (CptPort
1734uid 3934,0
1735ps "OnEdgeStrategy"
1736shape (Triangle
1737uid 3935,0
1738ro 90
1739va (VaSet
1740vasetType 1
1741fg "0,65535,0"
1742)
1743xt "18250,50625,19000,51375"
1744)
1745tg (CPTG
1746uid 3936,0
1747ps "CptPortTextPlaceStrategy"
1748stg "VerticalLayoutStrategy"
1749f (Text
1750uid 3937,0
1751va (VaSet
1752)
1753xt "20000,50500,28800,51500"
1754st "drs_read_s_cell_ready"
1755blo "20000,51300"
1756)
1757)
1758thePort (LogicalPort
1759decl (Decl
1760n "drs_read_s_cell_ready"
1761t "std_logic"
1762o 37
1763suid 34,0
1764)
1765)
1766)
1767*44 (CptPort
1768uid 3938,0
1769ps "OnEdgeStrategy"
1770shape (Triangle
1771uid 3939,0
1772ro 90
1773va (VaSet
1774vasetType 1
1775fg "0,65535,0"
1776)
1777xt "18250,51625,19000,52375"
1778)
1779tg (CPTG
1780uid 3940,0
1781ps "CptPortTextPlaceStrategy"
1782stg "VerticalLayoutStrategy"
1783f (Text
1784uid 3941,0
1785va (VaSet
1786)
1787xt "20000,51500,26400,52500"
1788st "drs_s_cell_array"
1789blo "20000,52300"
1790)
1791)
1792thePort (LogicalPort
1793decl (Decl
1794n "drs_s_cell_array"
1795t "drs_s_cell_array_type"
1796o 38
1797suid 35,0
1798)
1799)
1800)
1801*45 (CptPort
1802uid 4246,0
1803ps "OnEdgeStrategy"
1804shape (Triangle
1805uid 4247,0
1806ro 90
1807va (VaSet
1808vasetType 1
1809fg "0,65535,0"
1810)
1811xt "18250,75625,19000,76375"
1812)
1813tg (CPTG
1814uid 4248,0
1815ps "CptPortTextPlaceStrategy"
1816stg "VerticalLayoutStrategy"
1817f (Text
1818uid 4249,0
1819va (VaSet
1820)
1821xt "20000,75500,25900,76500"
1822st "adc_data_array"
1823blo "20000,76300"
1824)
1825)
1826thePort (LogicalPort
1827decl (Decl
1828n "adc_data_array"
1829t "adc_data_array_type"
1830o 30
1831suid 37,0
1832)
1833)
1834)
1835*46 (CptPort
1836uid 5174,0
1837ps "OnEdgeStrategy"
1838shape (Triangle
1839uid 5175,0
1840ro 270
1841va (VaSet
1842vasetType 1
1843fg "0,65535,0"
1844)
1845xt "40000,82625,40750,83375"
1846)
1847tg (CPTG
1848uid 5176,0
1849ps "CptPortTextPlaceStrategy"
1850stg "RightVerticalLayoutStrategy"
1851f (Text
1852uid 5177,0
1853va (VaSet
1854)
1855xt "32500,82500,39000,83500"
1856st "config_ready_cm"
1857ju 2
1858blo "39000,83300"
1859)
1860)
1861thePort (LogicalPort
1862decl (Decl
1863n "config_ready_cm"
1864t "std_logic"
1865o 12
1866suid 39,0
1867)
1868)
1869)
1870*47 (CptPort
1871uid 5178,0
1872ps "OnEdgeStrategy"
1873shape (Triangle
1874uid 5179,0
1875ro 90
1876va (VaSet
1877vasetType 1
1878fg "0,65535,0"
1879)
1880xt "40000,80625,40750,81375"
1881)
1882tg (CPTG
1883uid 5180,0
1884ps "CptPortTextPlaceStrategy"
1885stg "RightVerticalLayoutStrategy"
1886f (Text
1887uid 5181,0
1888va (VaSet
1889)
1890xt "32800,80500,39000,81500"
1891st "config_start_cm"
1892ju 2
1893blo "39000,81300"
1894)
1895)
1896thePort (LogicalPort
1897m 1
1898decl (Decl
1899n "config_start_cm"
1900t "std_logic"
1901o 9
1902suid 40,0
1903i "'0'"
1904)
1905)
1906)
1907*48 (CptPort
1908uid 5271,0
1909ps "OnEdgeStrategy"
1910shape (Triangle
1911uid 5272,0
1912ro 270
1913va (VaSet
1914vasetType 1
1915fg "0,65535,0"
1916)
1917xt "40000,52625,40750,53375"
1918)
1919tg (CPTG
1920uid 5273,0
1921ps "CptPortTextPlaceStrategy"
1922stg "RightVerticalLayoutStrategy"
1923f (Text
1924uid 5274,0
1925va (VaSet
1926)
1927xt "35400,52500,39000,53500"
1928st "s_trigger"
1929ju 2
1930blo "39000,53300"
1931)
1932)
1933thePort (LogicalPort
1934decl (Decl
1935n "s_trigger"
1936t "std_logic"
1937o 27
1938suid 41,0
1939)
1940)
1941)
1942*49 (CptPort
1943uid 5392,0
1944ps "OnEdgeStrategy"
1945shape (Triangle
1946uid 5393,0
1947ro 270
1948va (VaSet
1949vasetType 1
1950fg "0,65535,0"
1951)
1952xt "40000,71625,40750,72375"
1953)
1954tg (CPTG
1955uid 5394,0
1956ps "CptPortTextPlaceStrategy"
1957stg "RightVerticalLayoutStrategy"
1958f (Text
1959uid 5395,0
1960va (VaSet
1961)
1962xt "32300,71500,39000,72500"
1963st "config_ready_mm"
1964ju 2
1965blo "39000,72300"
1966)
1967)
1968thePort (LogicalPort
1969decl (Decl
1970n "config_ready_mm"
1971t "std_logic"
1972o 11
1973suid 42,0
1974)
1975)
1976)
1977*50 (CptPort
1978uid 5396,0
1979ps "OnEdgeStrategy"
1980shape (Triangle
1981uid 5397,0
1982ro 270
1983va (VaSet
1984vasetType 1
1985fg "0,65535,0"
1986)
1987xt "40000,84625,40750,85375"
1988)
1989tg (CPTG
1990uid 5398,0
1991ps "CptPortTextPlaceStrategy"
1992stg "RightVerticalLayoutStrategy"
1993f (Text
1994uid 5399,0
1995va (VaSet
1996)
1997xt "32500,84500,39000,85500"
1998st "config_ready_spi"
1999ju 2
2000blo "39000,85300"
2001)
2002)
2003thePort (LogicalPort
2004decl (Decl
2005n "config_ready_spi"
2006t "std_logic"
2007o 13
2008suid 43,0
2009)
2010)
2011)
2012*51 (CptPort
2013uid 5464,0
2014ps "OnEdgeStrategy"
2015shape (Triangle
2016uid 5465,0
2017ro 270
2018va (VaSet
2019vasetType 1
2020fg "0,65535,0"
2021)
2022xt "40000,88625,40750,89375"
2023)
2024tg (CPTG
2025uid 5466,0
2026ps "CptPortTextPlaceStrategy"
2027stg "RightVerticalLayoutStrategy"
2028f (Text
2029uid 5467,0
2030va (VaSet
2031)
2032xt "33800,88500,39000,89500"
2033st "sensor_array"
2034ju 2
2035blo "39000,89300"
2036)
2037)
2038thePort (LogicalPort
2039decl (Decl
2040n "sensor_array"
2041t "sensor_array_type"
2042o 19
2043suid 44,0
2044)
2045)
2046)
2047*52 (CptPort
2048uid 5468,0
2049ps "OnEdgeStrategy"
2050shape (Triangle
2051uid 5469,0
2052ro 270
2053va (VaSet
2054vasetType 1
2055fg "0,65535,0"
2056)
2057xt "40000,89625,40750,90375"
2058)
2059tg (CPTG
2060uid 5470,0
2061ps "CptPortTextPlaceStrategy"
2062stg "RightVerticalLayoutStrategy"
2063f (Text
2064uid 5471,0
2065va (VaSet
2066)
2067xt "33700,89500,39000,90500"
2068st "sensor_ready"
2069ju 2
2070blo "39000,90300"
2071)
2072)
2073thePort (LogicalPort
2074decl (Decl
2075n "sensor_ready"
2076t "std_logic"
2077o 20
2078suid 45,0
2079)
2080)
2081)
2082*53 (CptPort
2083uid 5735,0
2084ps "OnEdgeStrategy"
2085shape (Triangle
2086uid 5736,0
2087ro 90
2088va (VaSet
2089vasetType 1
2090fg "0,65535,0"
2091)
2092xt "40000,69625,40750,70375"
2093)
2094tg (CPTG
2095uid 5737,0
2096ps "CptPortTextPlaceStrategy"
2097stg "RightVerticalLayoutStrategy"
2098f (Text
2099uid 5738,0
2100va (VaSet
2101)
2102xt "32600,69500,39000,70500"
2103st "config_start_mm"
2104ju 2
2105blo "39000,70300"
2106)
2107)
2108thePort (LogicalPort
2109m 1
2110decl (Decl
2111n "config_start_mm"
2112t "std_logic"
2113o 8
2114suid 46,0
2115i "'0'"
2116)
2117)
2118)
2119*54 (CptPort
2120uid 5739,0
2121ps "OnEdgeStrategy"
2122shape (Triangle
2123uid 5740,0
2124ro 90
2125va (VaSet
2126vasetType 1
2127fg "0,65535,0"
2128)
2129xt "40000,86625,40750,87375"
2130)
2131tg (CPTG
2132uid 5741,0
2133ps "CptPortTextPlaceStrategy"
2134stg "RightVerticalLayoutStrategy"
2135f (Text
2136uid 5742,0
2137va (VaSet
2138)
2139xt "32800,86500,39000,87500"
2140st "config_start_spi"
2141ju 2
2142blo "39000,87300"
2143)
2144)
2145thePort (LogicalPort
2146m 1
2147decl (Decl
2148n "config_start_spi"
2149t "std_logic"
2150o 10
2151suid 47,0
2152i "'0'"
2153)
2154)
2155)
2156*55 (CptPort
2157uid 5916,0
2158ps "OnEdgeStrategy"
2159shape (Triangle
2160uid 5917,0
2161ro 90
2162va (VaSet
2163vasetType 1
2164fg "0,65535,0"
2165)
2166xt "40000,54625,40750,55375"
2167)
2168tg (CPTG
2169uid 5918,0
2170ps "CptPortTextPlaceStrategy"
2171stg "RightVerticalLayoutStrategy"
2172f (Text
2173uid 5919,0
2174va (VaSet
2175)
2176xt "33400,54500,39000,55500"
2177st "config_started"
2178ju 2
2179blo "39000,55300"
2180)
2181)
2182thePort (LogicalPort
2183m 1
2184decl (Decl
2185n "config_started"
2186t "std_logic"
2187o 29
2188suid 48,0
2189i "'0'"
2190)
2191)
2192)
2193*56 (CptPort
2194uid 5920,0
2195ps "OnEdgeStrategy"
2196shape (Triangle
2197uid 5921,0
2198ro 270
2199va (VaSet
2200vasetType 1
2201fg "0,65535,0"
2202)
2203xt "40000,53625,40750,54375"
2204)
2205tg (CPTG
2206uid 5922,0
2207ps "CptPortTextPlaceStrategy"
2208stg "RightVerticalLayoutStrategy"
2209f (Text
2210uid 5923,0
2211va (VaSet
2212)
2213xt "34400,53500,39000,54500"
2214st "new_config"
2215ju 2
2216blo "39000,54300"
2217)
2218)
2219thePort (LogicalPort
2220decl (Decl
2221n "new_config"
2222t "std_logic"
2223o 28
2224suid 49,0
2225)
2226)
2227)
2228*57 (CptPort
2229uid 5974,0
2230ps "OnEdgeStrategy"
2231shape (Triangle
2232uid 5975,0
2233ro 270
2234va (VaSet
2235vasetType 1
2236fg "0,65535,0"
2237)
2238xt "40000,81625,40750,82375"
2239)
2240tg (CPTG
2241uid 5976,0
2242ps "CptPortTextPlaceStrategy"
2243stg "RightVerticalLayoutStrategy"
2244f (Text
2245uid 5977,0
2246va (VaSet
2247)
2248xt "32000,81500,39000,82500"
2249st "config_started_cm"
2250ju 2
2251blo "39000,82300"
2252)
2253)
2254thePort (LogicalPort
2255decl (Decl
2256n "config_started_cm"
2257t "std_logic"
2258o 15
2259suid 50,0
2260)
2261)
2262)
2263*58 (CptPort
2264uid 5978,0
2265ps "OnEdgeStrategy"
2266shape (Triangle
2267uid 5979,0
2268ro 270
2269va (VaSet
2270vasetType 1
2271fg "0,65535,0"
2272)
2273xt "40000,70625,40750,71375"
2274)
2275tg (CPTG
2276uid 5980,0
2277ps "CptPortTextPlaceStrategy"
2278stg "RightVerticalLayoutStrategy"
2279f (Text
2280uid 5981,0
2281va (VaSet
2282)
2283xt "31800,70500,39000,71500"
2284st "config_started_mm"
2285ju 2
2286blo "39000,71300"
2287)
2288)
2289thePort (LogicalPort
2290decl (Decl
2291n "config_started_mm"
2292t "std_logic"
2293o 14
2294suid 51,0
2295)
2296)
2297)
2298*59 (CptPort
2299uid 5982,0
2300ps "OnEdgeStrategy"
2301shape (Triangle
2302uid 5983,0
2303ro 270
2304va (VaSet
2305vasetType 1
2306fg "0,65535,0"
2307)
2308xt "40000,85625,40750,86375"
2309)
2310tg (CPTG
2311uid 5984,0
2312ps "CptPortTextPlaceStrategy"
2313stg "RightVerticalLayoutStrategy"
2314f (Text
2315uid 5985,0
2316va (VaSet
2317)
2318xt "32000,85500,39000,86500"
2319st "config_started_spi"
2320ju 2
2321blo "39000,86300"
2322)
2323)
2324thePort (LogicalPort
2325decl (Decl
2326n "config_started_spi"
2327t "std_logic"
2328o 16
2329suid 52,0
2330)
2331)
2332)
2333*60 (CptPort
2334uid 6060,0
2335ps "OnEdgeStrategy"
2336shape (Triangle
2337uid 6061,0
2338ro 270
2339va (VaSet
2340vasetType 1
2341fg "0,65535,0"
2342)
2343xt "40000,78625,40750,79375"
2344)
2345tg (CPTG
2346uid 6062,0
2347ps "CptPortTextPlaceStrategy"
2348stg "RightVerticalLayoutStrategy"
2349f (Text
2350uid 6063,0
2351va (VaSet
2352)
2353xt "35300,78500,39000,79500"
2354st "dac_array"
2355ju 2
2356blo "39000,79300"
2357)
2358)
2359thePort (LogicalPort
2360decl (Decl
2361n "dac_array"
2362t "dac_array_type"
2363o 21
2364suid 53,0
2365)
2366)
2367)
2368]
2369shape (Rectangle
2370uid 1400,0
2371va (VaSet
2372vasetType 1
2373fg "0,65535,0"
2374lineColor "0,32896,0"
2375lineWidth 2
2376)
2377xt "19000,47000,40000,91000"
2378)
2379oxt "37000,1000,51000,21000"
2380ttg (MlTextGroup
2381uid 1401,0
2382ps "CenterOffsetStrategy"
2383stg "VerticalLayoutStrategy"
2384textVec [
2385*61 (Text
2386uid 1402,0
2387va (VaSet
2388font "Arial,8,1"
2389)
2390xt "19300,91000,25500,92000"
2391st "FACT_FAD_lib"
2392blo "19300,91800"
2393tm "BdLibraryNameMgr"
2394)
2395*62 (Text
2396uid 1403,0
2397va (VaSet
2398font "Arial,8,1"
2399)
2400xt "19300,92000,25700,93000"
2401st "data_generator"
2402blo "19300,92800"
2403tm "CptNameMgr"
2404)
2405*63 (Text
2406uid 1404,0
2407va (VaSet
2408font "Arial,8,1"
2409)
2410xt "19300,93000,28900,94000"
2411st "I_main_data_generator"
2412blo "19300,93800"
2413tm "InstanceNameMgr"
2414)
2415]
2416)
2417ga (GenericAssociation
2418uid 1405,0
2419ps "EdgeToEdgeStrategy"
2420matrix (Matrix
2421uid 1406,0
2422text (MLText
2423uid 1407,0
2424va (VaSet
2425font "Courier New,8,0"
2426)
2427xt "19000,46200,45500,47000"
2428st "RAM_ADDR_WIDTH = RAMADDRWIDTH64b ( integer ) "
2429)
2430header ""
2431)
2432elements [
2433(GiElement
2434name "RAM_ADDR_WIDTH"
2435type "integer"
2436value "RAMADDRWIDTH64b"
2437)
2438]
2439)
2440viewicon (ZoomableIcon
2441uid 1408,0
2442sl 0
2443va (VaSet
2444vasetType 1
2445fg "49152,49152,49152"
2446)
2447xt "19250,89250,20750,90750"
2448iconName "VhdlFileViewIcon.png"
2449iconMaskName "VhdlFileViewIcon.msk"
2450ftype 10
2451)
2452ordering 1
2453viewiconposition 0
2454portVis (PortSigDisplay
2455)
2456archFileType "UNKNOWN"
2457)
2458*64 (Net
2459uid 1409,0
2460decl (Decl
2461n "board_id"
2462t "std_logic_vector"
2463b "(3 downto 0)"
2464preAdd 0
2465posAdd 0
2466o 8
2467suid 28,0
2468)
2469declText (MLText
2470uid 1410,0
2471va (VaSet
2472font "Courier New,8,0"
2473)
2474xt "-85000,23800,-56500,24600"
2475st "board_id : std_logic_vector(3 downto 0)"
2476)
2477)
2478*65 (Net
2479uid 1423,0
2480decl (Decl
2481n "trigger"
2482t "std_logic"
2483preAdd 0
2484posAdd 0
2485o 10
2486suid 29,0
2487)
2488declText (MLText
2489uid 1424,0
2490va (VaSet
2491font "Courier New,8,0"
2492)
2493xt "-85000,25400,-66500,26200"
2494st "trigger : std_logic"
2495)
2496)
2497*66 (PortIoIn
2498uid 1443,0
2499shape (CompositeShape
2500uid 1444,0
2501va (VaSet
2502vasetType 1
2503fg "0,0,32768"
2504)
2505optionalChildren [
2506(Pentagon
2507uid 1445,0
2508sl 0
2509ro 270
2510xt "-28000,68625,-26500,69375"
2511)
2512(Line
2513uid 1446,0
2514sl 0
2515ro 270
2516xt "-26500,69000,-26000,69000"
2517pts [
2518"-26500,69000"
2519"-26000,69000"
2520]
2521)
2522]
2523)
2524stc 0
2525sf 1
2526tg (WTG
2527uid 1447,0
2528ps "PortIoTextPlaceStrategy"
2529stg "STSignalDisplayStrategy"
2530f (Text
2531uid 1448,0
2532va (VaSet
2533)
2534xt "-31800,68500,-29000,69500"
2535st "trigger"
2536ju 2
2537blo "-29000,69300"
2538tm "WireNameMgr"
2539)
2540)
2541)
2542*67 (SaComponent
2543uid 1606,0
2544optionalChildren [
2545*68 (CptPort
2546uid 1542,0
2547ps "OnEdgeStrategy"
2548shape (Triangle
2549uid 1543,0
2550ro 90
2551va (VaSet
2552vasetType 1
2553fg "0,65535,0"
2554)
2555xt "126250,51625,127000,52375"
2556)
2557tg (CPTG
2558uid 1544,0
2559ps "CptPortTextPlaceStrategy"
2560stg "VerticalLayoutStrategy"
2561f (Text
2562uid 1545,0
2563va (VaSet
2564)
2565xt "128000,51500,129300,52500"
2566st "clk"
2567blo "128000,52300"
2568)
2569)
2570thePort (LogicalPort
2571decl (Decl
2572n "clk"
2573t "std_logic"
2574preAdd 0
2575posAdd 0
2576o 1
2577suid 1,0
2578)
2579)
2580)
2581*69 (CptPort
2582uid 1546,0
2583ps "OnEdgeStrategy"
2584shape (Triangle
2585uid 1547,0
2586ro 90
2587va (VaSet
2588vasetType 1
2589fg "0,65535,0"
2590)
2591xt "148000,51625,148750,52375"
2592)
2593tg (CPTG
2594uid 1548,0
2595ps "CptPortTextPlaceStrategy"
2596stg "RightVerticalLayoutStrategy"
2597f (Text
2598uid 1549,0
2599va (VaSet
2600)
2601xt "143400,51500,147000,52500"
2602st "wiz_reset"
2603ju 2
2604blo "147000,52300"
2605)
2606)
2607thePort (LogicalPort
2608m 1
2609decl (Decl
2610n "wiz_reset"
2611t "std_logic"
2612preAdd 0
2613posAdd 0
2614o 2
2615suid 2,0
2616i "'1'"
2617)
2618)
2619)
2620*70 (CptPort
2621uid 1550,0
2622ps "OnEdgeStrategy"
2623shape (Triangle
2624uid 1551,0
2625ro 90
2626va (VaSet
2627vasetType 1
2628fg "0,65535,0"
2629)
2630xt "148000,59625,148750,60375"
2631)
2632tg (CPTG
2633uid 1552,0
2634ps "CptPortTextPlaceStrategy"
2635stg "RightVerticalLayoutStrategy"
2636f (Text
2637uid 1553,0
2638va (VaSet
2639)
2640xt "142500,59500,147000,60500"
2641st "addr : (9:0)"
2642ju 2
2643blo "147000,60300"
2644)
2645)
2646thePort (LogicalPort
2647m 1
2648decl (Decl
2649n "addr"
2650t "std_logic_vector"
2651b "(9 DOWNTO 0)"
2652preAdd 0
2653posAdd 0
2654o 3
2655suid 3,0
2656)
2657)
2658)
2659*71 (CptPort
2660uid 1554,0
2661ps "OnEdgeStrategy"
2662shape (Diamond
2663uid 1555,0
2664ro 90
2665va (VaSet
2666vasetType 1
2667fg "0,65535,0"
2668)
2669xt "148000,60625,148750,61375"
2670)
2671tg (CPTG
2672uid 1556,0
2673ps "CptPortTextPlaceStrategy"
2674stg "RightVerticalLayoutStrategy"
2675f (Text
2676uid 1557,0
2677va (VaSet
2678)
2679xt "142200,60500,147000,61500"
2680st "data : (15:0)"
2681ju 2
2682blo "147000,61300"
2683)
2684)
2685thePort (LogicalPort
2686m 2
2687decl (Decl
2688n "data"
2689t "std_logic_vector"
2690b "(15 DOWNTO 0)"
2691preAdd 0
2692posAdd 0
2693o 4
2694suid 4,0
2695)
2696)
2697)
2698*72 (CptPort
2699uid 1558,0
2700ps "OnEdgeStrategy"
2701shape (Triangle
2702uid 1559,0
2703ro 90
2704va (VaSet
2705vasetType 1
2706fg "0,65535,0"
2707)
2708xt "148000,52625,148750,53375"
2709)
2710tg (CPTG
2711uid 1560,0
2712ps "CptPortTextPlaceStrategy"
2713stg "RightVerticalLayoutStrategy"
2714f (Text
2715uid 1561,0
2716va (VaSet
2717)
2718xt "145800,52500,147000,53500"
2719st "cs"
2720ju 2
2721blo "147000,53300"
2722)
2723)
2724thePort (LogicalPort
2725m 1
2726decl (Decl
2727n "cs"
2728t "std_logic"
2729preAdd 0
2730posAdd 0
2731o 5
2732suid 5,0
2733i "'1'"
2734)
2735)
2736)
2737*73 (CptPort
2738uid 1562,0
2739ps "OnEdgeStrategy"
2740shape (Triangle
2741uid 1563,0
2742ro 90
2743va (VaSet
2744vasetType 1
2745fg "0,65535,0"
2746)
2747xt "148000,53625,148750,54375"
2748)
2749tg (CPTG
2750uid 1564,0
2751ps "CptPortTextPlaceStrategy"
2752stg "RightVerticalLayoutStrategy"
2753f (Text
2754uid 1565,0
2755va (VaSet
2756)
2757xt "145800,53500,147000,54500"
2758st "wr"
2759ju 2
2760blo "147000,54300"
2761)
2762)
2763thePort (LogicalPort
2764m 1
2765decl (Decl
2766n "wr"
2767t "std_logic"
2768preAdd 0
2769posAdd 0
2770o 6
2771suid 6,0
2772i "'1'"
2773)
2774)
2775)
2776*74 (CptPort
2777uid 1570,0
2778ps "OnEdgeStrategy"
2779shape (Triangle
2780uid 1571,0
2781ro 90
2782va (VaSet
2783vasetType 1
2784fg "0,65535,0"
2785)
2786xt "148000,54625,148750,55375"
2787)
2788tg (CPTG
2789uid 1572,0
2790ps "CptPortTextPlaceStrategy"
2791stg "RightVerticalLayoutStrategy"
2792f (Text
2793uid 1573,0
2794va (VaSet
2795)
2796xt "145900,54500,147000,55500"
2797st "rd"
2798ju 2
2799blo "147000,55300"
2800)
2801)
2802thePort (LogicalPort
2803m 1
2804decl (Decl
2805n "rd"
2806t "std_logic"
2807preAdd 0
2808posAdd 0
2809o 8
2810suid 8,0
2811i "'1'"
2812)
2813)
2814)
2815*75 (CptPort
2816uid 1574,0
2817ps "OnEdgeStrategy"
2818shape (Triangle
2819uid 1575,0
2820ro 270
2821va (VaSet
2822vasetType 1
2823fg "0,65535,0"
2824)
2825xt "148000,55625,148750,56375"
2826)
2827tg (CPTG
2828uid 1576,0
2829ps "CptPortTextPlaceStrategy"
2830stg "RightVerticalLayoutStrategy"
2831f (Text
2832uid 1577,0
2833va (VaSet
2834)
2835xt "145800,55500,147000,56500"
2836st "int"
2837ju 2
2838blo "147000,56300"
2839)
2840)
2841thePort (LogicalPort
2842decl (Decl
2843n "int"
2844t "std_logic"
2845preAdd 0
2846posAdd 0
2847o 9
2848suid 9,0
2849)
2850)
2851)
2852*76 (CptPort
2853uid 1578,0
2854ps "OnEdgeStrategy"
2855shape (Triangle
2856uid 1579,0
2857ro 90
2858va (VaSet
2859vasetType 1
2860fg "0,65535,0"
2861)
2862xt "126250,69625,127000,70375"
2863)
2864tg (CPTG
2865uid 1580,0
2866ps "CptPortTextPlaceStrategy"
2867stg "VerticalLayoutStrategy"
2868f (Text
2869uid 1581,0
2870va (VaSet
2871)
2872xt "128000,69500,135900,70500"
2873st "write_length : (16:0)"
2874blo "128000,70300"
2875)
2876)
2877thePort (LogicalPort
2878decl (Decl
2879n "write_length"
2880t "std_logic_vector"
2881b "(16 DOWNTO 0)"
2882preAdd 0
2883posAdd 0
2884o 10
2885suid 10,0
2886)
2887)
2888)
2889*77 (CptPort
2890uid 1582,0
2891ps "OnEdgeStrategy"
2892shape (Triangle
2893uid 1583,0
2894ro 90
2895va (VaSet
2896vasetType 1
2897fg "0,65535,0"
2898)
2899xt "126250,70625,127000,71375"
2900)
2901tg (CPTG
2902uid 1584,0
2903ps "CptPortTextPlaceStrategy"
2904stg "VerticalLayoutStrategy"
2905f (Text
2906uid 1585,0
2907va (VaSet
2908)
2909xt "128000,70500,144300,71500"
2910st "ram_start_addr : (RAM_ADDR_WIDTH-1:0)"
2911blo "128000,71300"
2912)
2913)
2914thePort (LogicalPort
2915decl (Decl
2916n "ram_start_addr"
2917t "std_logic_vector"
2918b "(RAM_ADDR_WIDTH-1 DOWNTO 0)"
2919preAdd 0
2920posAdd 0
2921o 11
2922suid 11,0
2923)
2924)
2925)
2926*78 (CptPort
2927uid 1586,0
2928ps "OnEdgeStrategy"
2929shape (Triangle
2930uid 1587,0
2931ro 90
2932va (VaSet
2933vasetType 1
2934fg "0,65535,0"
2935)
2936xt "126250,54625,127000,55375"
2937)
2938tg (CPTG
2939uid 1588,0
2940ps "CptPortTextPlaceStrategy"
2941stg "VerticalLayoutStrategy"
2942f (Text
2943uid 1589,0
2944va (VaSet
2945)
2946xt "128000,54500,134500,55500"
2947st "ram_data : (15:0)"
2948blo "128000,55300"
2949)
2950)
2951thePort (LogicalPort
2952decl (Decl
2953n "ram_data"
2954t "std_logic_vector"
2955b "(15 DOWNTO 0)"
2956preAdd 0
2957posAdd 0
2958o 12
2959suid 12,0
2960)
2961)
2962)
2963*79 (CptPort
2964uid 1590,0
2965ps "OnEdgeStrategy"
2966shape (Triangle
2967uid 1591,0
2968ro 270
2969va (VaSet
2970vasetType 1
2971fg "0,65535,0"
2972)
2973xt "126250,53625,127000,54375"
2974)
2975tg (CPTG
2976uid 1592,0
2977ps "CptPortTextPlaceStrategy"
2978stg "VerticalLayoutStrategy"
2979f (Text
2980uid 1593,0
2981va (VaSet
2982)
2983xt "128000,53500,142400,54500"
2984st "ram_addr : (RAM_ADDR_WIDTH-1:0)"
2985blo "128000,54300"
2986)
2987)
2988thePort (LogicalPort
2989m 1
2990decl (Decl
2991n "ram_addr"
2992t "std_logic_vector"
2993b "(RAM_ADDR_WIDTH-1 DOWNTO 0)"
2994preAdd 0
2995posAdd 0
2996o 13
2997suid 13,0
2998)
2999)
3000)
3001*80 (CptPort
3002uid 1594,0
3003ps "OnEdgeStrategy"
3004shape (Triangle
3005uid 1595,0
3006ro 90
3007va (VaSet
3008vasetType 1
3009fg "0,65535,0"
3010)
3011xt "126250,68625,127000,69375"
3012)
3013tg (CPTG
3014uid 1596,0
3015ps "CptPortTextPlaceStrategy"
3016stg "VerticalLayoutStrategy"
3017f (Text
3018uid 1597,0
3019va (VaSet
3020)
3021xt "128000,68500,132100,69500"
3022st "data_valid"
3023blo "128000,69300"
3024)
3025)
3026thePort (LogicalPort
3027decl (Decl
3028n "data_valid"
3029t "std_logic"
3030preAdd 0
3031posAdd 0
3032o 14
3033suid 14,0
3034)
3035)
3036)
3037*81 (CptPort
3038uid 1598,0
3039ps "OnEdgeStrategy"
3040shape (Triangle
3041uid 1599,0
3042ro 270
3043va (VaSet
3044vasetType 1
3045fg "0,65535,0"
3046)
3047xt "126250,67625,127000,68375"
3048)
3049tg (CPTG
3050uid 1600,0
3051ps "CptPortTextPlaceStrategy"
3052stg "VerticalLayoutStrategy"
3053f (Text
3054uid 1601,0
3055va (VaSet
3056)
3057xt "128000,67500,129900,68500"
3058st "busy"
3059blo "128000,68300"
3060)
3061)
3062thePort (LogicalPort
3063m 1
3064decl (Decl
3065n "busy"
3066t "std_logic"
3067preAdd 0
3068posAdd 0
3069o 16
3070suid 15,0
3071i "'1'"
3072)
3073)
3074)
3075*82 (CptPort
3076uid 2218,0
3077ps "OnEdgeStrategy"
3078shape (Triangle
3079uid 2219,0
3080ro 90
3081va (VaSet
3082vasetType 1
3083fg "0,65535,0"
3084)
3085xt "126250,71625,127000,72375"
3086)
3087tg (CPTG
3088uid 2220,0
3089ps "CptPortTextPlaceStrategy"
3090stg "VerticalLayoutStrategy"
3091f (Text
3092uid 2221,0
3093va (VaSet
3094)
3095xt "128000,71500,135800,72500"
3096st "fifo_channels : (3:0)"
3097blo "128000,72300"
3098)
3099)
3100thePort (LogicalPort
3101decl (Decl
3102n "fifo_channels"
3103t "std_logic_vector"
3104b "(3 downto 0)"
3105o 19
3106suid 20,0
3107)
3108)
3109)
3110*83 (CptPort
3111uid 2222,0
3112ps "OnEdgeStrategy"
3113shape (Triangle
3114uid 2223,0
3115ro 90
3116va (VaSet
3117vasetType 1
3118fg "0,65535,0"
3119)
3120xt "126250,72625,127000,73375"
3121)
3122tg (CPTG
3123uid 2224,0
3124ps "CptPortTextPlaceStrategy"
3125stg "VerticalLayoutStrategy"
3126f (Text
3127uid 2225,0
3128va (VaSet
3129)
3130xt "128000,72500,133700,73500"
3131st "write_end_flag"
3132blo "128000,73300"
3133)
3134)
3135thePort (LogicalPort
3136decl (Decl
3137n "write_end_flag"
3138t "std_logic"
3139o 18
3140suid 18,0
3141)
3142)
3143)
3144*84 (CptPort
3145uid 2226,0
3146ps "OnEdgeStrategy"
3147shape (Triangle
3148uid 2227,0
3149ro 90
3150va (VaSet
3151vasetType 1
3152fg "0,65535,0"
3153)
3154xt "126250,73625,127000,74375"
3155)
3156tg (CPTG
3157uid 2228,0
3158ps "CptPortTextPlaceStrategy"
3159stg "VerticalLayoutStrategy"
3160f (Text
3161uid 2229,0
3162va (VaSet
3163)
3164xt "128000,73500,134800,74500"
3165st "write_header_flag"
3166blo "128000,74300"
3167)
3168)
3169thePort (LogicalPort
3170decl (Decl
3171n "write_header_flag"
3172t "std_logic"
3173o 17
3174suid 19,0
3175)
3176)
3177)
3178*85 (CptPort
3179uid 5216,0
3180ps "OnEdgeStrategy"
3181shape (Triangle
3182uid 5217,0
3183ro 90
3184va (VaSet
3185vasetType 1
3186fg "0,65535,0"
3187)
3188xt "148000,70625,148750,71375"
3189)
3190tg (CPTG
3191uid 5218,0
3192ps "CptPortTextPlaceStrategy"
3193stg "RightVerticalLayoutStrategy"
3194f (Text
3195uid 5219,0
3196va (VaSet
3197)
3198xt "143000,70500,147000,71500"
3199st "led : (7:0)"
3200ju 2
3201blo "147000,71300"
3202)
3203)
3204thePort (LogicalPort
3205m 1
3206decl (Decl
3207n "led"
3208t "std_logic_vector"
3209b "(7 DOWNTO 0)"
3210posAdd 0
3211o 7
3212suid 22,0
3213i "(OTHERS => '0')"
3214)
3215)
3216)
3217*86 (CptPort
3218uid 5275,0
3219ps "OnEdgeStrategy"
3220shape (Triangle
3221uid 5276,0
3222ro 270
3223va (VaSet
3224vasetType 1
3225fg "0,65535,0"
3226)
3227xt "126250,58625,127000,59375"
3228)
3229tg (CPTG
3230uid 5277,0
3231ps "CptPortTextPlaceStrategy"
3232stg "VerticalLayoutStrategy"
3233f (Text
3234uid 5278,0
3235va (VaSet
3236)
3237xt "128000,58500,131600,59500"
3238st "s_trigger"
3239blo "128000,59300"
3240)
3241)
3242thePort (LogicalPort
3243m 1
3244decl (Decl
3245n "s_trigger"
3246t "std_logic"
3247o 20
3248suid 23,0
3249i "'0'"
3250)
3251)
3252)
3253*87 (CptPort
3254uid 5924,0
3255ps "OnEdgeStrategy"
3256shape (Triangle
3257uid 5925,0
3258ro 270
3259va (VaSet
3260vasetType 1
3261fg "0,65535,0"
3262)
3263xt "126250,78625,127000,79375"
3264)
3265tg (CPTG
3266uid 5926,0
3267ps "CptPortTextPlaceStrategy"
3268stg "VerticalLayoutStrategy"
3269f (Text
3270uid 5927,0
3271va (VaSet
3272)
3273xt "128000,78500,135000,79500"
3274st "config_addr : (7:0)"
3275blo "128000,79300"
3276)
3277)
3278thePort (LogicalPort
3279m 1
3280decl (Decl
3281n "config_addr"
3282t "std_logic_vector"
3283b "(7 downto 0)"
3284o 23
3285suid 24,0
3286)
3287)
3288)
3289*88 (CptPort
3290uid 5928,0
3291ps "OnEdgeStrategy"
3292shape (Triangle
3293uid 5929,0
3294ro 90
3295va (VaSet
3296vasetType 1
3297fg "0,65535,0"
3298)
3299xt "126250,83625,127000,84375"
3300)
3301tg (CPTG
3302uid 5930,0
3303ps "CptPortTextPlaceStrategy"
3304stg "VerticalLayoutStrategy"
3305f (Text
3306uid 5931,0
3307va (VaSet
3308)
3309xt "128000,83500,132800,84500"
3310st "config_busy"
3311blo "128000,84300"
3312)
3313)
3314thePort (LogicalPort
3315decl (Decl
3316n "config_busy"
3317t "std_logic"
3318o 27
3319suid 25,0
3320)
3321)
3322)
3323*89 (CptPort
3324uid 5932,0
3325ps "OnEdgeStrategy"
3326shape (Diamond
3327uid 6051,0
3328ro 270
3329va (VaSet
3330vasetType 1
3331fg "0,65535,0"
3332)
3333xt "126250,79625,127000,80375"
3334)
3335tg (CPTG
3336uid 5934,0
3337ps "CptPortTextPlaceStrategy"
3338stg "VerticalLayoutStrategy"
3339f (Text
3340uid 5935,0
3341va (VaSet
3342)
3343xt "128000,79500,135700,80500"
3344st "config_data : (15:0)"
3345blo "128000,80300"
3346)
3347)
3348thePort (LogicalPort
3349m 2
3350decl (Decl
3351n "config_data"
3352t "std_logic_vector"
3353b "(15 downto 0)"
3354o 24
3355suid 26,0
3356i "(others => 'Z')"
3357)
3358)
3359)
3360*90 (CptPort
3361uid 5936,0
3362ps "OnEdgeStrategy"
3363shape (Triangle
3364uid 5937,0
3365ro 90
3366va (VaSet
3367vasetType 1
3368fg "0,65535,0"
3369)
3370xt "126250,60625,127000,61375"
3371)
3372tg (CPTG
3373uid 5938,0
3374ps "CptPortTextPlaceStrategy"
3375stg "VerticalLayoutStrategy"
3376f (Text
3377uid 5939,0
3378va (VaSet
3379)
3380xt "128000,60500,133600,61500"
3381st "config_started"
3382blo "128000,61300"
3383)
3384)
3385thePort (LogicalPort
3386decl (Decl
3387n "config_started"
3388t "std_logic"
3389o 22
3390suid 27,0
3391)
3392)
3393)
3394*91 (CptPort
3395uid 5940,0
3396ps "OnEdgeStrategy"
3397shape (Triangle
3398uid 5941,0
3399ro 270
3400va (VaSet
3401vasetType 1
3402fg "0,65535,0"
3403)
3404xt "126250,81625,127000,82375"
3405)
3406tg (CPTG
3407uid 5942,0
3408ps "CptPortTextPlaceStrategy"
3409stg "VerticalLayoutStrategy"
3410f (Text
3411uid 5943,0
3412va (VaSet
3413)
3414xt "128000,81500,133300,82500"
3415st "config_wr_en"
3416blo "128000,82300"
3417)
3418)
3419thePort (LogicalPort
3420m 1
3421decl (Decl
3422n "config_wr_en"
3423t "std_logic"
3424o 25
3425suid 28,0
3426i "'0'"
3427)
3428)
3429)
3430*92 (CptPort
3431uid 5944,0
3432ps "OnEdgeStrategy"
3433shape (Triangle
3434uid 5945,0
3435ro 270
3436va (VaSet
3437vasetType 1
3438fg "0,65535,0"
3439)
3440xt "126250,59625,127000,60375"
3441)
3442tg (CPTG
3443uid 5946,0
3444ps "CptPortTextPlaceStrategy"
3445stg "VerticalLayoutStrategy"
3446f (Text
3447uid 5947,0
3448va (VaSet
3449)
3450xt "128000,59500,132600,60500"
3451st "new_config"
3452blo "128000,60300"
3453)
3454)
3455thePort (LogicalPort
3456m 1
3457decl (Decl
3458n "new_config"
3459t "std_logic"
3460o 21
3461suid 29,0
3462i "'0'"
3463)
3464)
3465)
3466*93 (CptPort
3467uid 5970,0
3468ps "OnEdgeStrategy"
3469shape (Triangle
3470uid 5971,0
3471ro 270
3472va (VaSet
3473vasetType 1
3474fg "0,65535,0"
3475)
3476xt "126250,82625,127000,83375"
3477)
3478tg (CPTG
3479uid 5972,0
3480ps "CptPortTextPlaceStrategy"
3481stg "VerticalLayoutStrategy"
3482f (Text
3483uid 5973,0
3484va (VaSet
3485)
3486xt "128000,82500,133200,83500"
3487st "config_rd_en"
3488blo "128000,83300"
3489)
3490)
3491thePort (LogicalPort
3492m 1
3493decl (Decl
3494n "config_rd_en"
3495t "std_logic"
3496o 26
3497suid 30,0
3498i "'0'"
3499)
3500)
3501)
3502*94 (CptPort
3503uid 6356,0
3504ps "OnEdgeStrategy"
3505shape (Triangle
3506uid 6357,0
3507ro 90
3508va (VaSet
3509vasetType 1
3510fg "0,65535,0"
3511)
3512xt "148000,74625,148750,75375"
3513)
3514tg (CPTG
3515uid 6358,0
3516ps "CptPortTextPlaceStrategy"
3517stg "RightVerticalLayoutStrategy"
3518f (Text
3519uid 6359,0
3520va (VaSet
3521)
3522xt "144000,74500,147000,75500"
3523st "denable"
3524ju 2
3525blo "147000,75300"
3526)
3527)
3528thePort (LogicalPort
3529m 1
3530decl (Decl
3531n "denable"
3532t "std_logic"
3533eolc "-- default domino wave off"
3534posAdd 0
3535o 28
3536suid 31,0
3537i "'0'"
3538)
3539)
3540)
3541*95 (CptPort
3542uid 6446,0
3543ps "OnEdgeStrategy"
3544shape (Triangle
3545uid 6447,0
3546ro 90
3547va (VaSet
3548vasetType 1
3549fg "0,65535,0"
3550)
3551xt "148000,75625,148750,76375"
3552)
3553tg (CPTG
3554uid 6448,0
3555ps "CptPortTextPlaceStrategy"
3556stg "RightVerticalLayoutStrategy"
3557f (Text
3558uid 6449,0
3559va (VaSet
3560)
3561xt "141600,75500,147000,76500"
3562st "dwrite_enable"
3563ju 2
3564blo "147000,76300"
3565)
3566)
3567thePort (LogicalPort
3568m 1
3569decl (Decl
3570n "dwrite_enable"
3571t "std_logic"
3572eolc "-- default DWRITE low."
3573preAdd 0
3574posAdd 0
3575o 29
3576suid 32,0
3577i "'0'"
3578)
3579)
3580)
3581*96 (CptPort
3582uid 8406,0
3583ps "OnEdgeStrategy"
3584shape (Triangle
3585uid 8407,0
3586ro 270
3587va (VaSet
3588vasetType 1
3589fg "0,65535,0"
3590)
3591xt "126250,74625,127000,75375"
3592)
3593tg (CPTG
3594uid 8408,0
3595ps "CptPortTextPlaceStrategy"
3596stg "VerticalLayoutStrategy"
3597f (Text
3598uid 8409,0
3599va (VaSet
3600)
3601xt "128000,74500,133600,75500"
3602st "data_valid_ack"
3603blo "128000,75300"
3604)
3605)
3606thePort (LogicalPort
3607m 1
3608decl (Decl
3609n "data_valid_ack"
3610t "std_logic"
3611o 15
3612suid 34,0
3613i "'0'"
3614)
3615)
3616)
3617]
3618shape (Rectangle
3619uid 1607,0
3620va (VaSet
3621vasetType 1
3622fg "0,65535,0"
3623lineColor "0,32896,0"
3624lineWidth 2
3625)
3626xt "127000,51000,148000,87000"
3627)
3628oxt "43000,2000,56000,22000"
3629ttg (MlTextGroup
3630uid 1608,0
3631ps "CenterOffsetStrategy"
3632stg "VerticalLayoutStrategy"
3633textVec [
3634*97 (Text
3635uid 1609,0
3636va (VaSet
3637font "Arial,8,1"
3638)
3639xt "126700,87000,132900,88000"
3640st "FACT_FAD_lib"
3641blo "126700,87800"
3642tm "BdLibraryNameMgr"
3643)
3644*98 (Text
3645uid 1610,0
3646va (VaSet
3647font "Arial,8,1"
3648)
3649xt "126700,88000,132400,89000"
3650st "w5300_modul"
3651blo "126700,88800"
3652tm "CptNameMgr"
3653)
3654*99 (Text
3655uid 1611,0
3656va (VaSet
3657font "Arial,8,1"
3658)
3659xt "126700,89000,133400,90000"
3660st "I_main_ethernet"
3661blo "126700,89800"
3662tm "InstanceNameMgr"
3663)
3664]
3665)
3666ga (GenericAssociation
3667uid 1612,0
3668ps "EdgeToEdgeStrategy"
3669matrix (Matrix
3670uid 1613,0
3671text (MLText
3672uid 1614,0
3673va (VaSet
3674font "Courier New,8,0"
3675)
3676xt "127000,50200,154500,51000"
3677st "RAM_ADDR_WIDTH = RAMADDRWIDTH64b+2 ( integer ) "
3678)
3679header ""
3680)
3681elements [
3682(GiElement
3683name "RAM_ADDR_WIDTH"
3684type "integer"
3685value "RAMADDRWIDTH64b+2"
3686)
3687]
3688)
3689viewicon (ZoomableIcon
3690uid 1615,0
3691sl 0
3692va (VaSet
3693vasetType 1
3694fg "49152,49152,49152"
3695)
3696xt "127250,85250,128750,86750"
3697iconName "VhdlFileViewIcon.png"
3698iconMaskName "VhdlFileViewIcon.msk"
3699ftype 10
3700)
3701ordering 1
3702viewiconposition 0
3703portVis (PortSigDisplay
3704)
3705archFileType "UNKNOWN"
3706)
3707*100 (Net
3708uid 1680,0
3709decl (Decl
3710n "crate_id"
3711t "std_logic_vector"
3712b "(1 downto 0)"
3713o 9
3714suid 30,0
3715)
3716declText (MLText
3717uid 1681,0
3718va (VaSet
3719font "Courier New,8,0"
3720)
3721xt "-85000,24600,-56500,25400"
3722st "crate_id : std_logic_vector(1 downto 0)"
3723)
3724)
3725*101 (SaComponent
3726uid 1768,0
3727optionalChildren [
3728*102 (CptPort
3729uid 1760,0
3730ps "OnEdgeStrategy"
3731shape (Triangle
3732uid 1761,0
3733ro 90
3734va (VaSet
3735vasetType 1
3736fg "0,65535,0"
3737)
3738xt "-7000,63625,-6250,64375"
3739)
3740tg (CPTG
3741uid 1762,0
3742ps "CptPortTextPlaceStrategy"
3743stg "RightVerticalLayoutStrategy"
3744f (Text
3745uid 1763,0
3746va (VaSet
3747)
3748xt "-14800,63500,-8000,64500"
3749st "trigger_id : (47:0)"
3750ju 2
3751blo "-8000,64300"
3752)
3753)
3754thePort (LogicalPort
3755lang 2
3756m 1
3757decl (Decl
3758n "trigger_id"
3759t "std_logic_vector"
3760b "(47 downto 0)"
3761preAdd 0
3762posAdd 0
3763o 1
3764suid 1,0
3765)
3766)
3767)
3768*103 (CptPort
3769uid 1764,0
3770ps "OnEdgeStrategy"
3771shape (Triangle
3772uid 1765,0
3773ro 90
3774va (VaSet
3775vasetType 1
3776fg "0,65535,0"
3777)
3778xt "-18750,63625,-18000,64375"
3779)
3780tg (CPTG
3781uid 1766,0
3782ps "CptPortTextPlaceStrategy"
3783stg "VerticalLayoutStrategy"
3784f (Text
3785uid 1767,0
3786va (VaSet
3787)
3788xt "-17000,63500,-14200,64500"
3789st "trigger"
3790blo "-17000,64300"
3791)
3792)
3793thePort (LogicalPort
3794lang 2
3795decl (Decl
3796n "trigger"
3797t "std_logic"
3798preAdd 0
3799posAdd 0
3800o 2
3801suid 2,0
3802)
3803)
3804)
3805*104 (CptPort
3806uid 6207,0
3807ps "OnEdgeStrategy"
3808shape (Triangle
3809uid 6208,0
3810ro 90
3811va (VaSet
3812vasetType 1
3813fg "0,65535,0"
3814)
3815xt "-18750,62625,-18000,63375"
3816)
3817tg (CPTG
3818uid 6209,0
3819ps "CptPortTextPlaceStrategy"
3820stg "VerticalLayoutStrategy"
3821f (Text
3822uid 6210,0
3823va (VaSet
3824)
3825xt "-17000,62500,-15700,63500"
3826st "clk"
3827blo "-17000,63300"
3828)
3829)
3830thePort (LogicalPort
3831lang 2
3832decl (Decl
3833n "clk"
3834t "std_logic"
3835o 3
3836suid 3,0
3837)
3838)
3839)
3840]
3841shape (Rectangle
3842uid 1769,0
3843va (VaSet
3844vasetType 1
3845fg "0,65535,0"
3846lineColor "0,32896,0"
3847lineWidth 2
3848)
3849xt "-18000,62000,-7000,67000"
3850)
3851oxt "32000,2000,43000,12000"
3852ttg (MlTextGroup
3853uid 1770,0
3854ps "CenterOffsetStrategy"
3855stg "VerticalLayoutStrategy"
3856textVec [
3857*105 (Text
3858uid 1771,0
3859va (VaSet
3860font "Arial,8,1"
3861)
3862xt "-6300,65000,300,66000"
3863st "FACT_FAD_LIB"
3864blo "-6300,65800"
3865tm "BdLibraryNameMgr"
3866)
3867*106 (Text
3868uid 1772,0
3869va (VaSet
3870font "Arial,8,1"
3871)
3872xt "-6300,66000,300,67000"
3873st "trigger_counter"
3874blo "-6300,66800"
3875tm "CptNameMgr"
3876)
3877*107 (Text
3878uid 1773,0
3879va (VaSet
3880font "Arial,8,1"
3881)
3882xt "-6300,67000,1300,68000"
3883st "I_main_ext_trigger"
3884blo "-6300,67800"
3885tm "InstanceNameMgr"
3886)
3887]
3888)
3889ga (GenericAssociation
3890uid 1774,0
3891ps "EdgeToEdgeStrategy"
3892matrix (Matrix
3893uid 1775,0
3894text (MLText
3895uid 1776,0
3896va (VaSet
3897font "Courier New,8,0"
3898)
3899xt "-18000,61000,-18000,61000"
3900)
3901header ""
3902)
3903elements [
3904]
3905)
3906viewicon (ZoomableIcon
3907uid 1777,0
3908sl 0
3909va (VaSet
3910vasetType 1
3911fg "49152,49152,49152"
3912)
3913xt "-17750,65250,-16250,66750"
3914iconName "VhdlFileViewIcon.png"
3915iconMaskName "VhdlFileViewIcon.msk"
3916ftype 10
3917)
3918ordering 1
3919viewiconposition 0
3920portVis (PortSigDisplay
3921sIVOD 1
3922)
3923archFileType "UNKNOWN"
3924)
3925*108 (Net
3926uid 1981,0
3927lang 2
3928decl (Decl
3929n "trigger_id"
3930t "std_logic_vector"
3931b "(47 downto 0)"
3932preAdd 0
3933posAdd 0
3934o 72
3935suid 34,0
3936)
3937declText (MLText
3938uid 1982,0
3939va (VaSet
3940font "Courier New,8,0"
3941)
3942xt "-85000,77000,-52500,77800"
3943st "SIGNAL trigger_id : std_logic_vector(47 downto 0)"
3944)
3945)
3946*109 (Net
3947uid 2297,0
3948decl (Decl
3949n "ram_start_addr"
3950t "std_logic_vector"
3951b "(RAMADDRWIDTH64b-1 DOWNTO 0)"
3952preAdd 0
3953posAdd 0
3954o 64
3955suid 36,0
3956)
3957declText (MLText
3958uid 2298,0
3959va (VaSet
3960font "Courier New,8,0"
3961)
3962xt "-85000,70600,-45000,71400"
3963st "SIGNAL ram_start_addr : std_logic_vector(RAMADDRWIDTH64b-1 DOWNTO 0)"
3964)
3965)
3966*110 (SaComponent
3967uid 2311,0
3968optionalChildren [
3969*111 (CptPort
3970uid 2307,0
3971ps "OnEdgeStrategy"
3972shape (Triangle
3973uid 2308,0
3974ro 270
3975va (VaSet
3976vasetType 1
3977fg "0,65535,0"
3978)
3979xt "71250,69625,72000,70375"
3980)
3981tg (CPTG
3982uid 2309,0
3983ps "CptPortTextPlaceStrategy"
3984stg "VerticalLayoutStrategy"
3985f (Text
3986uid 2310,0
3987va (VaSet
3988font "arial,8,0"
3989)
3990xt "73000,69500,91400,70500"
3991st "ram_start_addr : (RAM_ADDR_WIDTH_64B-1:0)"
3992blo "73000,70300"
3993)
3994)
3995thePort (LogicalPort
3996lang 2
3997m 1
3998decl (Decl
3999n "ram_start_addr"
4000t "std_logic_vector"
4001b "(RAM_ADDR_WIDTH_64B-1 DOWNTO 0)"
4002preAdd 0
4003posAdd 0
4004o 18
4005suid 1,0
4006i "(others => '0')"
4007)
4008)
4009)
4010*112 (CptPort
4011uid 2351,0
4012ps "OnEdgeStrategy"
4013shape (Triangle
4014uid 2352,0
4015ro 90
4016va (VaSet
4017vasetType 1
4018fg "0,65535,0"
4019)
4020xt "71250,67625,72000,68375"
4021)
4022tg (CPTG
4023uid 2353,0
4024ps "CptPortTextPlaceStrategy"
4025stg "VerticalLayoutStrategy"
4026f (Text
4027uid 2354,0
4028va (VaSet
4029font "arial,8,0"
4030)
4031xt "73000,67500,74300,68500"
4032st "clk"
4033blo "73000,68300"
4034)
4035)
4036thePort (LogicalPort
4037lang 2
4038decl (Decl
4039n "clk"
4040t "std_logic"
4041o 1
4042suid 2,0
4043)
4044)
4045)
4046*113 (CptPort
4047uid 2361,0
4048ps "OnEdgeStrategy"
4049shape (Triangle
4050uid 2362,0
4051ro 270
4052va (VaSet
4053vasetType 1
4054fg "0,65535,0"
4055)
4056xt "71250,76625,72000,77375"
4057)
4058tg (CPTG
4059uid 2363,0
4060ps "CptPortTextPlaceStrategy"
4061stg "VerticalLayoutStrategy"
4062f (Text
4063uid 2364,0
4064va (VaSet
4065font "arial,8,0"
4066)
4067xt "73000,76500,78100,77500"
4068st "config_ready"
4069blo "73000,77300"
4070)
4071)
4072thePort (LogicalPort
4073lang 2
4074m 1
4075decl (Decl
4076n "config_ready"
4077t "std_logic"
4078o 6
4079suid 5,0
4080i "'0'"
4081)
4082)
4083)
4084*114 (CptPort
4085uid 2365,0
4086ps "OnEdgeStrategy"
4087shape (Triangle
4088uid 2366,0
4089ro 90
4090va (VaSet
4091vasetType 1
4092fg "0,65535,0"
4093)
4094xt "71250,74625,72000,75375"
4095)
4096tg (CPTG
4097uid 2367,0
4098ps "CptPortTextPlaceStrategy"
4099stg "VerticalLayoutStrategy"
4100f (Text
4101uid 2368,0
4102va (VaSet
4103font "arial,8,0"
4104)
4105xt "73000,74500,77800,75500"
4106st "config_start"
4107blo "73000,75300"
4108)
4109)
4110thePort (LogicalPort
4111lang 2
4112decl (Decl
4113n "config_start"
4114t "std_logic"
4115o 2
4116suid 3,0
4117)
4118)
4119)
4120*115 (CptPort
4121uid 2369,0
4122ps "OnEdgeStrategy"
4123shape (Triangle
4124uid 2370,0
4125ro 270
4126va (VaSet
4127vasetType 1
4128fg "0,65535,0"
4129)
4130xt "71250,70625,72000,71375"
4131)
4132tg (CPTG
4133uid 2371,0
4134ps "CptPortTextPlaceStrategy"
4135stg "VerticalLayoutStrategy"
4136f (Text
4137uid 2372,0
4138va (VaSet
4139font "arial,8,0"
4140)
4141xt "73000,70500,78300,71500"
4142st "ram_write_ea"
4143blo "73000,71300"
4144)
4145)
4146thePort (LogicalPort
4147lang 2
4148m 1
4149decl (Decl
4150n "ram_write_ea"
4151t "std_logic"
4152o 5
4153suid 4,0
4154i "'0'"
4155)
4156)
4157)
4158*116 (CptPort
4159uid 2373,0
4160ps "OnEdgeStrategy"
4161shape (Triangle
4162uid 2374,0
4163ro 90
4164va (VaSet
4165vasetType 1
4166fg "0,65535,0"
4167)
4168xt "71250,71625,72000,72375"
4169)
4170tg (CPTG
4171uid 2375,0
4172ps "CptPortTextPlaceStrategy"
4173stg "VerticalLayoutStrategy"
4174f (Text
4175uid 2376,0
4176va (VaSet
4177font "arial,8,0"
4178)
4179xt "73000,71500,79300,72500"
4180st "ram_write_ready"
4181blo "73000,72300"
4182)
4183)
4184thePort (LogicalPort
4185lang 2
4186decl (Decl
4187n "ram_write_ready"
4188t "std_logic"
4189o 3
4190suid 12,0
4191)
4192)
4193)
4194*117 (CptPort
4195uid 2377,0
4196ps "OnEdgeStrategy"
4197shape (Triangle
4198uid 2378,0
4199ro 270
4200va (VaSet
4201vasetType 1
4202fg "0,65535,0"
4203)
4204xt "71250,77625,72000,78375"
4205)
4206tg (CPTG
4207uid 2379,0
4208ps "CptPortTextPlaceStrategy"
4209stg "VerticalLayoutStrategy"
4210f (Text
4211uid 2380,0
4212va (VaSet
4213font "arial,8,0"
4214)
4215xt "73000,77500,76000,78500"
4216st "roi_max"
4217blo "73000,78300"
4218)
4219)
4220thePort (LogicalPort
4221lang 2
4222m 1
4223decl (Decl
4224n "roi_max"
4225t "roi_max_type"
4226posAdd 0
4227o 8
4228suid 14,0
4229i "(others => conv_std_logic_vector (0, 11))"
4230)
4231)
4232)
4233*118 (CptPort
4234uid 2381,0
4235ps "OnEdgeStrategy"
4236shape (Triangle
4237uid 2382,0
4238ro 270
4239va (VaSet
4240vasetType 1
4241fg "0,65535,0"
4242)
4243xt "103000,67625,103750,68375"
4244)
4245tg (CPTG
4246uid 2383,0
4247ps "CptPortTextPlaceStrategy"
4248stg "RightVerticalLayoutStrategy"
4249f (Text
4250uid 2384,0
4251va (VaSet
4252font "arial,8,0"
4253)
4254xt "98600,67500,102000,68500"
4255st "wiz_busy"
4256ju 2
4257blo "102000,68300"
4258)
4259)
4260thePort (LogicalPort
4261lang 2
4262decl (Decl
4263n "wiz_busy"
4264t "std_logic"
4265o 16
4266suid 13,0
4267)
4268)
4269)
4270*119 (CptPort
4271uid 2385,0
4272ps "OnEdgeStrategy"
4273shape (Triangle
4274uid 2386,0
4275ro 90
4276va (VaSet
4277vasetType 1
4278fg "0,65535,0"
4279)
4280xt "103000,71625,103750,72375"
4281)
4282tg (CPTG
4283uid 2387,0
4284ps "CptPortTextPlaceStrategy"
4285stg "RightVerticalLayoutStrategy"
4286f (Text
4287uid 2388,0
4288va (VaSet
4289font "arial,8,0"
4290)
4291xt "90200,71500,102000,72500"
4292st "wiz_number_of_channels : (3:0)"
4293ju 2
4294blo "102000,72300"
4295)
4296)
4297thePort (LogicalPort
4298lang 2
4299m 1
4300decl (Decl
4301n "wiz_number_of_channels"
4302t "std_logic_vector"
4303b "(3 downto 0)"
4304o 12
4305suid 6,0
4306i "(others => '0')"
4307)
4308)
4309)
4310*120 (CptPort
4311uid 2389,0
4312ps "OnEdgeStrategy"
4313shape (Triangle
4314uid 2390,0
4315ro 90
4316va (VaSet
4317vasetType 1
4318fg "0,65535,0"
4319)
4320xt "103000,70625,103750,71375"
4321)
4322tg (CPTG
4323uid 2391,0
4324ps "CptPortTextPlaceStrategy"
4325stg "RightVerticalLayoutStrategy"
4326f (Text
4327uid 2392,0
4328va (VaSet
4329font "arial,8,0"
4330)
4331xt "82100,70500,102000,71500"
4332st "wiz_ram_start_addr : (RAM_ADDR_WIDTH_16B-1:0)"
4333ju 2
4334blo "102000,71300"
4335)
4336)
4337thePort (LogicalPort
4338lang 2
4339m 1
4340decl (Decl
4341n "wiz_ram_start_addr"
4342t "std_logic_vector"
4343b "(RAM_ADDR_WIDTH_16B-1 downto 0)"
4344preAdd 0
4345o 10
4346suid 7,0
4347i "(others => '0')"
4348)
4349)
4350)
4351*121 (CptPort
4352uid 2393,0
4353ps "OnEdgeStrategy"
4354shape (Triangle
4355uid 2394,0
4356ro 90
4357va (VaSet
4358vasetType 1
4359fg "0,65535,0"
4360)
4361xt "103000,68625,103750,69375"
4362)
4363tg (CPTG
4364uid 2395,0
4365ps "CptPortTextPlaceStrategy"
4366stg "RightVerticalLayoutStrategy"
4367f (Text
4368uid 2396,0
4369va (VaSet
4370font "arial,8,0"
4371)
4372xt "96900,68500,102000,69500"
4373st "wiz_write_ea"
4374ju 2
4375blo "102000,69300"
4376)
4377)
4378thePort (LogicalPort
4379lang 2
4380m 1
4381decl (Decl
4382n "wiz_write_ea"
4383t "std_logic"
4384o 13
4385suid 8,0
4386i "'0'"
4387)
4388)
4389)
4390*122 (CptPort
4391uid 2397,0
4392ps "OnEdgeStrategy"
4393shape (Triangle
4394uid 2398,0
4395ro 90
4396va (VaSet
4397vasetType 1
4398fg "0,65535,0"
4399)
4400xt "103000,72625,103750,73375"
4401)
4402tg (CPTG
4403uid 2399,0
4404ps "CptPortTextPlaceStrategy"
4405stg "RightVerticalLayoutStrategy"
4406f (Text
4407uid 2400,0
4408va (VaSet
4409font "arial,8,0"
4410)
4411xt "96500,72500,102000,73500"
4412st "wiz_write_end"
4413ju 2
4414blo "102000,73300"
4415)
4416)
4417thePort (LogicalPort
4418lang 2
4419m 1
4420decl (Decl
4421n "wiz_write_end"
4422t "std_logic"
4423o 15
4424suid 9,0
4425i "'0'"
4426)
4427)
4428)
4429*123 (CptPort
4430uid 2401,0
4431ps "OnEdgeStrategy"
4432shape (Triangle
4433uid 2402,0
4434ro 90
4435va (VaSet
4436vasetType 1
4437fg "0,65535,0"
4438)
4439xt "103000,73625,103750,74375"
4440)
4441tg (CPTG
4442uid 2403,0
4443ps "CptPortTextPlaceStrategy"
4444stg "RightVerticalLayoutStrategy"
4445f (Text
4446uid 2404,0
4447va (VaSet
4448font "arial,8,0"
4449)
4450xt "95400,73500,102000,74500"
4451st "wiz_write_header"
4452ju 2
4453blo "102000,74300"
4454)
4455)
4456thePort (LogicalPort
4457lang 2
4458m 1
4459decl (Decl
4460n "wiz_write_header"
4461t "std_logic"
4462o 14
4463suid 10,0
4464i "'0'"
4465)
4466)
4467)
4468*124 (CptPort
4469uid 2405,0
4470ps "OnEdgeStrategy"
4471shape (Triangle
4472uid 2406,0
4473ro 90
4474va (VaSet
4475vasetType 1
4476fg "0,65535,0"
4477)
4478xt "103000,69625,103750,70375"
4479)
4480tg (CPTG
4481uid 2407,0
4482ps "CptPortTextPlaceStrategy"
4483stg "RightVerticalLayoutStrategy"
4484f (Text
4485uid 2408,0
4486va (VaSet
4487font "arial,8,0"
4488)
4489xt "92600,69500,102000,70500"
4490st "wiz_write_length : (16:0)"
4491ju 2
4492blo "102000,70300"
4493)
4494)
4495thePort (LogicalPort
4496lang 2
4497m 1
4498decl (Decl
4499n "wiz_write_length"
4500t "std_logic_vector"
4501b "(16 downto 0)"
4502o 11
4503suid 11,0
4504i "(others => '0')"
4505)
4506)
4507)
4508*125 (CptPort
4509uid 2454,0
4510ps "OnEdgeStrategy"
4511shape (Triangle
4512uid 2455,0
4513ro 90
4514va (VaSet
4515vasetType 1
4516fg "0,65535,0"
4517)
4518xt "71250,84625,72000,85375"
4519)
4520tg (CPTG
4521uid 2456,0
4522ps "CptPortTextPlaceStrategy"
4523stg "VerticalLayoutStrategy"
4524f (Text
4525uid 2457,0
4526va (VaSet
4527font "arial,8,0"
4528)
4529xt "73000,84500,76400,85500"
4530st "roi_array"
4531blo "73000,85300"
4532)
4533)
4534thePort (LogicalPort
4535lang 2
4536decl (Decl
4537n "roi_array"
4538t "roi_array_type"
4539o 4
4540suid 15,0
4541)
4542)
4543)
4544*126 (CptPort
4545uid 2628,0
4546ps "OnEdgeStrategy"
4547shape (Triangle
4548uid 2629,0
4549ro 270
4550va (VaSet
4551vasetType 1
4552fg "0,65535,0"
4553)
4554xt "71250,78625,72000,79375"
4555)
4556tg (CPTG
4557uid 2630,0
4558ps "CptPortTextPlaceStrategy"
4559stg "VerticalLayoutStrategy"
4560f (Text
4561uid 2631,0
4562va (VaSet
4563font "arial,8,0"
4564)
4565xt "73000,78500,82100,79500"
4566st "package_length : (15:0)"
4567blo "73000,79300"
4568)
4569)
4570thePort (LogicalPort
4571lang 2
4572m 1
4573decl (Decl
4574n "package_length"
4575t "std_logic_vector"
4576b "(15 downto 0)"
4577o 9
4578suid 18,0
4579i "(others => '0')"
4580)
4581)
4582)
4583*127 (CptPort
4584uid 5991,0
4585ps "OnEdgeStrategy"
4586shape (Triangle
4587uid 5992,0
4588ro 270
4589va (VaSet
4590vasetType 1
4591fg "0,65535,0"
4592)
4593xt "71250,75625,72000,76375"
4594)
4595tg (CPTG
4596uid 5993,0
4597ps "CptPortTextPlaceStrategy"
4598stg "VerticalLayoutStrategy"
4599f (Text
4600uid 5994,0
4601va (VaSet
4602font "arial,8,0"
4603)
4604xt "73000,75500,78600,76500"
4605st "config_started"
4606blo "73000,76300"
4607)
4608)
4609thePort (LogicalPort
4610lang 2
4611m 1
4612decl (Decl
4613n "config_started"
4614t "std_logic"
4615o 7
4616suid 21,0
4617i "'0'"
4618)
4619)
4620)
4621*128 (CptPort
4622uid 8410,0
4623ps "OnEdgeStrategy"
4624shape (Triangle
4625uid 8411,0
4626ro 270
4627va (VaSet
4628vasetType 1
4629fg "0,65535,0"
4630)
4631xt "103000,74625,103750,75375"
4632)
4633tg (CPTG
4634uid 8412,0
4635ps "CptPortTextPlaceStrategy"
4636stg "RightVerticalLayoutStrategy"
4637f (Text
4638uid 8413,0
4639va (VaSet
4640font "arial,8,0"
4641)
4642xt "99000,74500,102000,75500"
4643st "wiz_ack"
4644ju 2
4645blo "102000,75300"
4646)
4647)
4648thePort (LogicalPort
4649lang 2
4650decl (Decl
4651n "wiz_ack"
4652t "std_logic"
4653o 17
4654suid 22,0
4655)
4656)
4657)
4658]
4659shape (Rectangle
4660uid 2312,0
4661va (VaSet
4662vasetType 1
4663fg "0,65535,0"
4664lineColor "0,32896,0"
4665lineWidth 2
4666)
4667xt "72000,67000,103000,87000"
4668)
4669oxt "15000,6000,23000,16000"
4670ttg (MlTextGroup
4671uid 2313,0
4672ps "CenterOffsetStrategy"
4673stg "VerticalLayoutStrategy"
4674textVec [
4675*129 (Text
4676uid 2314,0
4677va (VaSet
4678font "arial,8,1"
4679)
4680xt "72350,87000,78550,88000"
4681st "FACT_FAD_lib"
4682blo "72350,87800"
4683tm "BdLibraryNameMgr"
4684)
4685*130 (Text
4686uid 2315,0
4687va (VaSet
4688font "arial,8,1"
4689)
4690xt "72350,88000,79650,89000"
4691st "memory_manager"
4692blo "72350,88800"
4693tm "CptNameMgr"
4694)
4695*131 (Text
4696uid 2316,0
4697va (VaSet
4698font "arial,8,1"
4699)
4700xt "72350,89000,82850,90000"
4701st "I_main_memory_manager"
4702blo "72350,89800"
4703tm "InstanceNameMgr"
4704)
4705]
4706)
4707ga (GenericAssociation
4708uid 2317,0
4709ps "EdgeToEdgeStrategy"
4710matrix (Matrix
4711uid 2318,0
4712text (MLText
4713uid 2319,0
4714va (VaSet
4715font "Courier New,8,0"
4716)
4717xt "72000,65400,101500,67000"
4718st "RAM_ADDR_WIDTH_64B = RAMADDRWIDTH64b ( integer )
4719RAM_ADDR_WIDTH_16B = RAMADDRWIDTH64b+2 ( integer ) "
4720)
4721header ""
4722)
4723elements [
4724(GiElement
4725name "RAM_ADDR_WIDTH_64B"
4726type "integer"
4727value "RAMADDRWIDTH64b"
4728)
4729(GiElement
4730name "RAM_ADDR_WIDTH_16B"
4731type "integer"
4732value "RAMADDRWIDTH64b+2"
4733)
4734]
4735)
4736viewicon (ZoomableIcon
4737uid 2320,0
4738sl 0
4739va (VaSet
4740vasetType 1
4741fg "49152,49152,49152"
4742)
4743xt "72250,85250,73750,86750"
4744iconName "VhdlFileViewIcon.png"
4745iconMaskName "VhdlFileViewIcon.msk"
4746ftype 10
4747)
4748ordering 1
4749viewiconposition 0
4750portVis (PortSigDisplay
4751)
4752archFileType "UNKNOWN"
4753)
4754*132 (Net
4755uid 2468,0
4756lang 2
4757decl (Decl
4758n "wiz_busy"
4759t "std_logic"
4760o 73
4761suid 38,0
4762)
4763declText (MLText
4764uid 2469,0
4765va (VaSet
4766font "Courier New,8,0"
4767)
4768xt "-85000,78600,-62500,79400"
4769st "SIGNAL wiz_busy : std_logic"
4770)
4771)
4772*133 (Net
4773uid 2474,0
4774lang 2
4775decl (Decl
4776n "wiz_write_ea"
4777t "std_logic"
4778o 76
4779suid 39,0
4780i "'0'"
4781)
4782declText (MLText
4783uid 2475,0
4784va (VaSet
4785font "Courier New,8,0"
4786)
4787xt "-85000,81000,-41500,81800"
4788st "SIGNAL wiz_write_ea : std_logic := '0'"
4789)
4790)
4791*134 (Net
4792uid 2480,0
4793lang 2
4794decl (Decl
4795n "wiz_write_length"
4796t "std_logic_vector"
4797b "(16 downto 0)"
4798o 79
4799suid 40,0
4800i "(others => '0')"
4801)
4802declText (MLText
4803uid 2481,0
4804va (VaSet
4805font "Courier New,8,0"
4806)
4807xt "-85000,83400,-35500,84200"
4808st "SIGNAL wiz_write_length : std_logic_vector(16 downto 0) := (others => '0')"
4809)
4810)
4811*135 (Net
4812uid 2486,0
4813lang 2
4814decl (Decl
4815n "wiz_ram_start_addr"
4816t "std_logic_vector"
4817b "(RAMADDRWIDTH64b+1 DOWNTO 0)"
4818preAdd 0
4819o 75
4820suid 41,0
4821i "(others => '0')"
4822)
4823declText (MLText
4824uid 2487,0
4825va (VaSet
4826font "Courier New,8,0"
4827)
4828xt "-85000,80200,-35500,81000"
4829st "SIGNAL wiz_ram_start_addr : std_logic_vector(RAMADDRWIDTH64b+1 DOWNTO 0) := (others => '0')"
4830)
4831)
4832*136 (Net
4833uid 2492,0
4834lang 2
4835decl (Decl
4836n "wiz_number_of_channels"
4837t "std_logic_vector"
4838b "(3 downto 0)"
4839o 74
4840suid 42,0
4841i "(others => '0')"
4842)
4843declText (MLText
4844uid 2493,0
4845va (VaSet
4846font "Courier New,8,0"
4847)
4848xt "-85000,79400,-35500,80200"
4849st "SIGNAL wiz_number_of_channels : std_logic_vector(3 downto 0) := (others => '0')"
4850)
4851)
4852*137 (Net
4853uid 2498,0
4854lang 2
4855decl (Decl
4856n "wiz_write_end"
4857t "std_logic"
4858o 77
4859suid 43,0
4860i "'0'"
4861)
4862declText (MLText
4863uid 2499,0
4864va (VaSet
4865font "Courier New,8,0"
4866)
4867xt "-85000,81800,-41500,82600"
4868st "SIGNAL wiz_write_end : std_logic := '0'"
4869)
4870)
4871*138 (Net
4872uid 2504,0
4873lang 2
4874decl (Decl
4875n "wiz_write_header"
4876t "std_logic"
4877o 78
4878suid 44,0
4879i "'0'"
4880)
4881declText (MLText
4882uid 2505,0
4883va (VaSet
4884font "Courier New,8,0"
4885)
4886xt "-85000,82600,-41500,83400"
4887st "SIGNAL wiz_write_header : std_logic := '0'"
4888)
4889)
4890*139 (Net
4891uid 2574,0
4892decl (Decl
4893n "ram_write_ea"
4894t "std_logic"
4895o 65
4896suid 48,0
4897)
4898declText (MLText
4899uid 2575,0
4900va (VaSet
4901font "Courier New,8,0"
4902)
4903xt "-85000,71400,-62500,72200"
4904st "SIGNAL ram_write_ea : std_logic"
4905)
4906)
4907*140 (Net
4908uid 2580,0
4909decl (Decl
4910n "ram_write_ready"
4911t "std_logic"
4912o 66
4913suid 49,0
4914i "'0'"
4915)
4916declText (MLText
4917uid 2581,0
4918va (VaSet
4919font "Courier New,8,0"
4920)
4921xt "-85000,72200,-41500,73000"
4922st "SIGNAL ram_write_ready : std_logic := '0'"
4923)
4924)
4925*141 (Net
4926uid 2586,0
4927decl (Decl
4928n "config_start"
4929t "std_logic"
4930o 44
4931suid 50,0
4932i "'0'"
4933)
4934declText (MLText
4935uid 2587,0
4936va (VaSet
4937font "Courier New,8,0"
4938)
4939xt "-85000,54600,-41500,55400"
4940st "SIGNAL config_start : std_logic := '0'"
4941)
4942)
4943*142 (Net
4944uid 2592,0
4945decl (Decl
4946n "config_ready"
4947t "std_logic"
4948o 41
4949suid 51,0
4950)
4951declText (MLText
4952uid 2593,0
4953va (VaSet
4954font "Courier New,8,0"
4955)
4956xt "-85000,52200,-62500,53000"
4957st "SIGNAL config_ready : std_logic"
4958)
4959)
4960*143 (Net
4961uid 2598,0
4962decl (Decl
4963n "roi_max"
4964t "roi_max_type"
4965o 68
4966suid 52,0
4967)
4968declText (MLText
4969uid 2599,0
4970va (VaSet
4971font "Courier New,8,0"
4972)
4973xt "-85000,73800,-61000,74600"
4974st "SIGNAL roi_max : roi_max_type"
4975)
4976)
4977*144 (Net
4978uid 2640,0
4979decl (Decl
4980n "package_length"
4981t "std_logic_vector"
4982b "(15 downto 0)"
4983o 61
4984suid 54,0
4985)
4986declText (MLText
4987uid 2641,0
4988va (VaSet
4989font "Courier New,8,0"
4990)
4991xt "-85000,68200,-52500,69000"
4992st "SIGNAL package_length : std_logic_vector(15 downto 0)"
4993)
4994)
4995*145 (Net
4996uid 2776,0
4997decl (Decl
4998n "adc_oeb"
4999t "std_logic"
5000o 16
5001suid 57,0
5002i "'1'"
5003)
5004declText (MLText
5005uid 2777,0
5006va (VaSet
5007font "Courier New,8,0"
5008)
5009xt "-85000,30200,-45000,31000"
5010st "adc_oeb : std_logic := '1'"
5011)
5012)
5013*146 (PortIoOut
5014uid 2798,0
5015shape (CompositeShape
5016uid 2799,0
5017va (VaSet
5018vasetType 1
5019fg "0,0,32768"
5020)
5021optionalChildren [
5022(Pentagon
5023uid 2800,0
5024sl 0
5025ro 90
5026xt "-28000,81625,-26500,82375"
5027)
5028(Line
5029uid 2801,0
5030sl 0
5031ro 90
5032xt "-26500,82000,-26000,82000"
5033pts [
5034"-26000,82000"
5035"-26500,82000"
5036]
5037)
5038]
5039)
5040stc 0
5041sf 1
5042tg (WTG
5043uid 2802,0
5044ps "PortIoTextPlaceStrategy"
5045stg "STSignalDisplayStrategy"
5046f (Text
5047uid 2803,0
5048va (VaSet
5049)
5050xt "-32200,81500,-29000,82500"
5051st "adc_oeb"
5052ju 2
5053blo "-29000,82300"
5054tm "WireNameMgr"
5055)
5056)
5057)
5058*147 (PortIoIn
5059uid 2804,0
5060shape (CompositeShape
5061uid 2805,0
5062va (VaSet
5063vasetType 1
5064fg "0,0,32768"
5065)
5066optionalChildren [
5067(Pentagon
5068uid 2806,0
5069sl 0
5070ro 270
5071xt "-28000,76625,-26500,77375"
5072)
5073(Line
5074uid 2807,0
5075sl 0
5076ro 270
5077xt "-26500,77000,-26000,77000"
5078pts [
5079"-26500,77000"
5080"-26000,77000"
5081]
5082)
5083]
5084)
5085stc 0
5086sf 1
5087tg (WTG
5088uid 2808,0
5089ps "PortIoTextPlaceStrategy"
5090stg "STSignalDisplayStrategy"
5091f (Text
5092uid 2809,0
5093va (VaSet
5094)
5095xt "-34400,76500,-29000,77500"
5096st "adc_otr_array"
5097ju 2
5098blo "-29000,77300"
5099tm "WireNameMgr"
5100)
5101)
5102)
5103*148 (Net
5104uid 2924,0
5105decl (Decl
5106n "roi_array"
5107t "roi_array_type"
5108o 67
5109suid 65,0
5110)
5111declText (MLText
5112uid 2925,0
5113va (VaSet
5114font "Courier New,8,0"
5115)
5116xt "-85000,73000,-60000,73800"
5117st "SIGNAL roi_array : roi_array_type"
5118)
5119)
5120*149 (PortIoIn
5121uid 2950,0
5122shape (CompositeShape
5123uid 2951,0
5124va (VaSet
5125vasetType 1
5126fg "0,0,32768"
5127)
5128optionalChildren [
5129(Pentagon
5130uid 2952,0
5131sl 0
5132ro 270
5133xt "-28000,85625,-26500,86375"
5134)
5135(Line
5136uid 2953,0
5137sl 0
5138ro 270
5139xt "-26500,86000,-26000,86000"
5140pts [
5141"-26500,86000"
5142"-26000,86000"
5143]
5144)
5145]
5146)
5147stc 0
5148sf 1
5149tg (WTG
5150uid 2954,0
5151ps "PortIoTextPlaceStrategy"
5152stg "STSignalDisplayStrategy"
5153f (Text
5154uid 2955,0
5155va (VaSet
5156)
5157xt "-32300,85500,-29000,86500"
5158st "board_id"
5159ju 2
5160blo "-29000,86300"
5161tm "WireNameMgr"
5162)
5163)
5164)
5165*150 (PortIoIn
5166uid 2956,0
5167shape (CompositeShape
5168uid 2957,0
5169va (VaSet
5170vasetType 1
5171fg "0,0,32768"
5172)
5173optionalChildren [
5174(Pentagon
5175uid 2958,0
5176sl 0
5177ro 270
5178xt "-28000,86625,-26500,87375"
5179)
5180(Line
5181uid 2959,0
5182sl 0
5183ro 270
5184xt "-26500,87000,-26000,87000"
5185pts [
5186"-26500,87000"
5187"-26000,87000"
5188]
5189)
5190]
5191)
5192stc 0
5193sf 1
5194tg (WTG
5195uid 2960,0
5196ps "PortIoTextPlaceStrategy"
5197stg "STSignalDisplayStrategy"
5198f (Text
5199uid 2961,0
5200va (VaSet
5201)
5202xt "-32100,86500,-29000,87500"
5203st "crate_id"
5204ju 2
5205blo "-29000,87300"
5206tm "WireNameMgr"
5207)
5208)
5209)
5210*151 (Grouping
5211uid 3137,0
5212optionalChildren [
5213*152 (CommentText
5214uid 3139,0
5215shape (Rectangle
5216uid 3140,0
5217sl 0
5218va (VaSet
5219vasetType 1
5220fg "65280,65280,46080"
5221)
5222xt "125000,175000,142000,176000"
5223)
5224oxt "18000,70000,35000,71000"
5225text (MLText
5226uid 3141,0
5227va (VaSet
5228fg "0,0,32768"
5229bg "0,0,32768"
5230)
5231xt "125200,175000,134500,176000"
5232st "
5233by %user on %dd %month %year
5234"
5235tm "CommentText"
5236wrapOption 3
5237visibleHeight 1000
5238visibleWidth 17000
5239)
5240position 1
5241ignorePrefs 1
5242titleBlock 1
5243)
5244*153 (CommentText
5245uid 3142,0
5246shape (Rectangle
5247uid 3143,0
5248sl 0
5249va (VaSet
5250vasetType 1
5251fg "65280,65280,46080"
5252)
5253xt "142000,171000,146000,172000"
5254)
5255oxt "35000,66000,39000,67000"
5256text (MLText
5257uid 3144,0
5258va (VaSet
5259fg "0,0,32768"
5260bg "0,0,32768"
5261)
5262xt "142200,171000,145200,172000"
5263st "
5264Project:
5265"
5266tm "CommentText"
5267wrapOption 3
5268visibleHeight 1000
5269visibleWidth 4000
5270)
5271position 1
5272ignorePrefs 1
5273titleBlock 1
5274)
5275*154 (CommentText
5276uid 3145,0
5277shape (Rectangle
5278uid 3146,0
5279sl 0
5280va (VaSet
5281vasetType 1
5282fg "65280,65280,46080"
5283)
5284xt "125000,173000,142000,174000"
5285)
5286oxt "18000,68000,35000,69000"
5287text (MLText
5288uid 3147,0
5289va (VaSet
5290fg "0,0,32768"
5291bg "0,0,32768"
5292)
5293xt "125200,173000,135200,174000"
5294st "
5295<enter diagram title here>
5296"
5297tm "CommentText"
5298wrapOption 3
5299visibleHeight 1000
5300visibleWidth 17000
5301)
5302position 1
5303ignorePrefs 1
5304titleBlock 1
5305)
5306*155 (CommentText
5307uid 3148,0
5308shape (Rectangle
5309uid 3149,0
5310sl 0
5311va (VaSet
5312vasetType 1
5313fg "65280,65280,46080"
5314)
5315xt "121000,173000,125000,174000"
5316)
5317oxt "14000,68000,18000,69000"
5318text (MLText
5319uid 3150,0
5320va (VaSet
5321fg "0,0,32768"
5322bg "0,0,32768"
5323)
5324xt "121200,173000,123300,174000"
5325st "
5326Title:
5327"
5328tm "CommentText"
5329wrapOption 3
5330visibleHeight 1000
5331visibleWidth 4000
5332)
5333position 1
5334ignorePrefs 1
5335titleBlock 1
5336)
5337*156 (CommentText
5338uid 3151,0
5339shape (Rectangle
5340uid 3152,0
5341sl 0
5342va (VaSet
5343vasetType 1
5344fg "65280,65280,46080"
5345)
5346xt "142000,172000,162000,176000"
5347)
5348oxt "35000,67000,55000,71000"
5349text (MLText
5350uid 3153,0
5351va (VaSet
5352fg "0,0,32768"
5353bg "0,0,32768"
5354)
5355xt "142200,172200,151400,173200"
5356st "
5357<enter comments here>
5358"
5359tm "CommentText"
5360wrapOption 3
5361visibleHeight 4000
5362visibleWidth 20000
5363)
5364ignorePrefs 1
5365titleBlock 1
5366)
5367*157 (CommentText
5368uid 3154,0
5369shape (Rectangle
5370uid 3155,0
5371sl 0
5372va (VaSet
5373vasetType 1
5374fg "65280,65280,46080"
5375)
5376xt "146000,171000,162000,172000"
5377)
5378oxt "39000,66000,55000,67000"
5379text (MLText
5380uid 3156,0
5381va (VaSet
5382fg "0,0,32768"
5383bg "0,0,32768"
5384)
5385xt "146200,171000,150700,172000"
5386st "
5387%project_name
5388"
5389tm "CommentText"
5390wrapOption 3
5391visibleHeight 1000
5392visibleWidth 16000
5393)
5394position 1
5395ignorePrefs 1
5396titleBlock 1
5397)
5398*158 (CommentText
5399uid 3157,0
5400shape (Rectangle
5401uid 3158,0
5402sl 0
5403va (VaSet
5404vasetType 1
5405fg "65280,65280,46080"
5406)
5407xt "121000,171000,142000,173000"
5408)
5409oxt "14000,66000,35000,68000"
5410text (MLText
5411uid 3159,0
5412va (VaSet
5413fg "32768,0,0"
5414)
5415xt "128700,171000,134300,173000"
5416st "
5417TU Dortmund
5418Physik / EE
5419"
5420ju 0
5421tm "CommentText"
5422wrapOption 3
5423visibleHeight 2000
5424visibleWidth 21000
5425)
5426position 1
5427ignorePrefs 1
5428titleBlock 1
5429)
5430*159 (CommentText
5431uid 3160,0
5432shape (Rectangle
5433uid 3161,0
5434sl 0
5435va (VaSet
5436vasetType 1
5437fg "65280,65280,46080"
5438)
5439xt "121000,174000,125000,175000"
5440)
5441oxt "14000,69000,18000,70000"
5442text (MLText
5443uid 3162,0
5444va (VaSet
5445fg "0,0,32768"
5446bg "0,0,32768"
5447)
5448xt "121200,174000,123300,175000"
5449st "
5450Path:
5451"
5452tm "CommentText"
5453wrapOption 3
5454visibleHeight 1000
5455visibleWidth 4000
5456)
5457position 1
5458ignorePrefs 1
5459titleBlock 1
5460)
5461*160 (CommentText
5462uid 3163,0
5463shape (Rectangle
5464uid 3164,0
5465sl 0
5466va (VaSet
5467vasetType 1
5468fg "65280,65280,46080"
5469)
5470xt "121000,175000,125000,176000"
5471)
5472oxt "14000,70000,18000,71000"
5473text (MLText
5474uid 3165,0
5475va (VaSet
5476fg "0,0,32768"
5477bg "0,0,32768"
5478)
5479xt "121200,175000,123900,176000"
5480st "
5481Edited:
5482"
5483tm "CommentText"
5484wrapOption 3
5485visibleHeight 1000
5486visibleWidth 4000
5487)
5488position 1
5489ignorePrefs 1
5490titleBlock 1
5491)
5492*161 (CommentText
5493uid 3166,0
5494shape (Rectangle
5495uid 3167,0
5496sl 0
5497va (VaSet
5498vasetType 1
5499fg "65280,65280,46080"
5500)
5501xt "125000,174000,142000,175000"
5502)
5503oxt "18000,69000,35000,70000"
5504text (MLText
5505uid 3168,0
5506va (VaSet
5507fg "0,0,32768"
5508bg "0,0,32768"
5509)
5510xt "125200,174000,137600,175000"
5511st "
5512%library/%unit/%view
5513"
5514tm "CommentText"
5515wrapOption 3
5516visibleHeight 1000
5517visibleWidth 17000
5518)
5519position 1
5520ignorePrefs 1
5521titleBlock 1
5522)
5523]
5524shape (GroupingShape
5525uid 3138,0
5526va (VaSet
5527vasetType 1
5528fg "65535,65535,65535"
5529lineStyle 2
5530lineWidth 2
5531)
5532xt "121000,171000,162000,176000"
5533)
5534oxt "14000,66000,55000,71000"
5535)
5536*162 (Net
5537uid 3894,0
5538decl (Decl
5539n "CLK_25_PS"
5540t "std_logic"
5541o 12
5542suid 81,0
5543)
5544declText (MLText
5545uid 3895,0
5546va (VaSet
5547font "Courier New,8,0"
5548)
5549xt "-85000,27000,-66500,27800"
5550st "CLK_25_PS : std_logic"
5551)
5552)
5553*163 (PortIoOut
5554uid 3978,0
5555shape (CompositeShape
5556uid 3979,0
5557va (VaSet
5558vasetType 1
5559fg "0,0,32768"
5560)
5561optionalChildren [
5562(Pentagon
5563uid 3980,0
5564sl 0
5565ro 90
5566xt "-28000,30625,-26500,31375"
5567)
5568(Line
5569uid 3981,0
5570sl 0
5571ro 90
5572xt "-26500,31000,-26000,31000"
5573pts [
5574"-26000,31000"
5575"-26500,31000"
5576]
5577)
5578]
5579)
5580stc 0
5581sf 1
5582tg (WTG
5583uid 3982,0
5584ps "PortIoTextPlaceStrategy"
5585stg "STSignalDisplayStrategy"
5586f (Text
5587uid 3983,0
5588va (VaSet
5589)
5590xt "-33500,30500,-29000,31500"
5591st "CLK_25_PS"
5592ju 2
5593blo "-29000,31300"
5594tm "WireNameMgr"
5595)
5596)
5597)
5598*164 (Net
5599uid 4068,0
5600decl (Decl
5601n "CLK_50"
5602t "std_logic"
5603o 13
5604suid 90,0
5605)
5606declText (MLText
5607uid 4069,0
5608va (VaSet
5609font "Courier New,8,0"
5610)
5611xt "-85000,27800,-66500,28600"
5612st "CLK_50 : std_logic"
5613)
5614)
5615*165 (SaComponent
5616uid 4194,0
5617optionalChildren [
5618*166 (CptPort
5619uid 4178,0
5620ps "OnEdgeStrategy"
5621shape (Triangle
5622uid 4179,0
5623ro 90
5624va (VaSet
5625vasetType 1
5626fg "0,65535,0"
5627)
5628xt "-2000,18625,-1250,19375"
5629)
5630tg (CPTG
5631uid 4180,0
5632ps "CptPortTextPlaceStrategy"
5633stg "RightVerticalLayoutStrategy"
5634f (Text
5635uid 4181,0
5636va (VaSet
5637font "arial,8,0"
5638)
5639xt "-6100,18500,-3000,19500"
5640st "CLK_50"
5641ju 2
5642blo "-3000,19300"
5643)
5644)
5645thePort (LogicalPort
5646m 1
5647decl (Decl
5648n "CLK_50"
5649t "std_logic"
5650o 4
5651suid 2,0
5652)
5653)
5654)
5655*167 (CptPort
5656uid 4182,0
5657ps "OnEdgeStrategy"
5658shape (Triangle
5659uid 4183,0
5660ro 90
5661va (VaSet
5662vasetType 1
5663fg "0,65535,0"
5664)
5665xt "-2000,20625,-1250,21375"
5666)
5667tg (CPTG
5668uid 4184,0
5669ps "CptPortTextPlaceStrategy"
5670stg "RightVerticalLayoutStrategy"
5671f (Text
5672uid 4185,0
5673va (VaSet
5674font "arial,8,0"
5675)
5676xt "-6100,20500,-3000,21500"
5677st "CLK_25"
5678ju 2
5679blo "-3000,21300"
5680)
5681)
5682thePort (LogicalPort
5683m 1
5684decl (Decl
5685n "CLK_25"
5686t "std_logic"
5687o 2
5688suid 3,0
5689)
5690)
5691)
5692*168 (CptPort
5693uid 4186,0
5694ps "OnEdgeStrategy"
5695shape (Triangle
5696uid 4187,0
5697ro 90
5698va (VaSet
5699vasetType 1
5700fg "0,65535,0"
5701)
5702xt "-2000,22625,-1250,23375"
5703)
5704tg (CPTG
5705uid 4188,0
5706ps "CptPortTextPlaceStrategy"
5707stg "RightVerticalLayoutStrategy"
5708f (Text
5709uid 4189,0
5710va (VaSet
5711font "arial,8,0"
5712)
5713xt "-7500,22500,-3000,23500"
5714st "CLK_25_PS"
5715ju 2
5716blo "-3000,23300"
5717)
5718)
5719thePort (LogicalPort
5720m 1
5721decl (Decl
5722n "CLK_25_PS"
5723t "std_logic"
5724o 3
5725suid 4,0
5726)
5727)
5728)
5729*169 (CptPort
5730uid 4190,0
5731ps "OnEdgeStrategy"
5732shape (Triangle
5733uid 4191,0
5734ro 90
5735va (VaSet
5736vasetType 1
5737fg "0,65535,0"
5738)
5739xt "-20750,18625,-20000,19375"
5740)
5741tg (CPTG
5742uid 4192,0
5743ps "CptPortTextPlaceStrategy"
5744stg "VerticalLayoutStrategy"
5745f (Text
5746uid 4193,0
5747va (VaSet
5748font "arial,8,0"
5749)
5750xt "-19000,18500,-17100,19500"
5751st "CLK"
5752blo "-19000,19300"
5753)
5754)
5755thePort (LogicalPort
5756decl (Decl
5757n "CLK"
5758t "std_logic"
5759o 1
5760suid 6,0
5761)
5762)
5763)
5764]
5765shape (Rectangle
5766uid 4195,0
5767va (VaSet
5768vasetType 1
5769fg "0,65535,0"
5770lineColor "0,32896,0"
5771lineWidth 2
5772)
5773xt "-20000,18000,-2000,25000"
5774)
5775oxt "28000,13000,46000,20000"
5776ttg (MlTextGroup
5777uid 4196,0
5778ps "CenterOffsetStrategy"
5779stg "VerticalLayoutStrategy"
5780textVec [
5781*170 (Text
5782uid 4197,0
5783va (VaSet
5784font "arial,8,1"
5785)
5786xt "-13800,26000,-7600,27000"
5787st "FACT_FAD_lib"
5788blo "-13800,26800"
5789tm "BdLibraryNameMgr"
5790)
5791*171 (Text
5792uid 4198,0
5793va (VaSet
5794font "arial,8,1"
5795)
5796xt "-13800,27000,-7100,28000"
5797st "clock_generator"
5798blo "-13800,27800"
5799tm "CptNameMgr"
5800)
5801*172 (Text
5802uid 4199,0
5803va (VaSet
5804font "arial,8,1"
5805)
5806xt "-13800,28000,-6500,29000"
5807st "I_main_clock_gen"
5808blo "-13800,28800"
5809tm "InstanceNameMgr"
5810)
5811]
5812)
5813ga (GenericAssociation
5814uid 4200,0
5815ps "EdgeToEdgeStrategy"
5816matrix (Matrix
5817uid 4201,0
5818text (MLText
5819uid 4202,0
5820va (VaSet
5821font "Courier New,8,0"
5822)
5823xt "-35000,24000,-35000,24000"
5824)
5825header ""
5826)
5827elements [
5828]
5829)
5830viewicon (ZoomableIcon
5831uid 4203,0
5832sl 0
5833va (VaSet
5834vasetType 1
5835fg "49152,49152,49152"
5836)
5837xt "-19750,23250,-18250,24750"
5838iconName "BlockDiagram.png"
5839iconMaskName "BlockDiagram.msk"
5840ftype 1
5841)
5842viewiconposition 0
5843portVis (PortSigDisplay
5844sIVOD 1
5845)
5846archFileType "UNKNOWN"
5847)
5848*173 (Net
5849uid 4204,0
5850decl (Decl
5851n "CLK_25"
5852t "std_logic"
5853o 32
5854suid 91,0
5855)
5856declText (MLText
5857uid 4205,0
5858va (VaSet
5859font "Courier New,8,0"
5860)
5861xt "-85000,45000,-62500,45800"
5862st "SIGNAL CLK_25 : std_logic"
5863)
5864)
5865*174 (PortIoOut
5866uid 4220,0
5867shape (CompositeShape
5868uid 4221,0
5869va (VaSet
5870vasetType 1
5871fg "0,0,32768"
5872)
5873optionalChildren [
5874(Pentagon
5875uid 4222,0
5876sl 0
5877ro 90
5878xt "-28000,33625,-26500,34375"
5879)
5880(Line
5881uid 4223,0
5882sl 0
5883ro 90
5884xt "-26500,34000,-26000,34000"
5885pts [
5886"-26000,34000"
5887"-26500,34000"
5888]
5889)
5890]
5891)
5892stc 0
5893sf 1
5894tg (WTG
5895uid 4224,0
5896ps "PortIoTextPlaceStrategy"
5897stg "STSignalDisplayStrategy"
5898f (Text
5899uid 4225,0
5900va (VaSet
5901)
5902xt "-32100,33500,-29000,34500"
5903st "CLK_50"
5904ju 2
5905blo "-29000,34300"
5906tm "WireNameMgr"
5907)
5908)
5909)
5910*175 (Net
5911uid 4232,0
5912decl (Decl
5913n "CLK"
5914t "std_logic"
5915o 1
5916suid 92,0
5917)
5918declText (MLText
5919uid 4233,0
5920va (VaSet
5921font "Courier New,8,0"
5922)
5923xt "-85000,18200,-66500,19000"
5924st "CLK : std_logic"
5925)
5926)
5927*176 (Net
5928uid 4260,0
5929decl (Decl
5930n "adc_otr_array"
5931t "std_logic_vector"
5932b "(3 DOWNTO 0)"
5933o 7
5934suid 95,0
5935)
5936declText (MLText
5937uid 4261,0
5938va (VaSet
5939font "Courier New,8,0"
5940)
5941xt "-85000,23000,-56500,23800"
5942st "adc_otr_array : std_logic_vector(3 DOWNTO 0)"
5943)
5944)
5945*177 (Net
5946uid 4270,0
5947decl (Decl
5948n "adc_data_array"
5949t "adc_data_array_type"
5950o 6
5951suid 96,0
5952)
5953declText (MLText
5954uid 4271,0
5955va (VaSet
5956font "Courier New,8,0"
5957)
5958xt "-85000,22200,-61000,23000"
5959st "adc_data_array : adc_data_array_type"
5960)
5961)
5962*178 (PortIoIn
5963uid 4307,0
5964shape (CompositeShape
5965uid 4308,0
5966va (VaSet
5967vasetType 1
5968fg "0,0,32768"
5969)
5970optionalChildren [
5971(Pentagon
5972uid 4309,0
5973sl 0
5974ro 270
5975xt "-28000,75625,-26500,76375"
5976)
5977(Line
5978uid 4310,0
5979sl 0
5980ro 270
5981xt "-26500,76000,-26000,76000"
5982pts [
5983"-26500,76000"
5984"-26000,76000"
5985]
5986)
5987]
5988)
5989stc 0
5990sf 1
5991tg (WTG
5992uid 4311,0
5993ps "PortIoTextPlaceStrategy"
5994stg "STSignalDisplayStrategy"
5995f (Text
5996uid 4312,0
5997va (VaSet
5998)
5999xt "-34900,75500,-29000,76500"
6000st "adc_data_array"
6001ju 2
6002blo "-29000,76300"
6003tm "WireNameMgr"
6004)
6005)
6006)
6007*179 (Net
6008uid 4399,0
6009decl (Decl
6010n "drs_clk_en"
6011t "std_logic"
6012o 54
6013suid 97,0
6014i "'0'"
6015)
6016declText (MLText
6017uid 4400,0
6018va (VaSet
6019font "Courier New,8,0"
6020)
6021xt "-85000,62600,-41500,63400"
6022st "SIGNAL drs_clk_en : std_logic := '0'"
6023)
6024)
6025*180 (Net
6026uid 4405,0
6027decl (Decl
6028n "drs_s_cell_array"
6029t "drs_s_cell_array_type"
6030o 57
6031suid 98,0
6032)
6033declText (MLText
6034uid 4406,0
6035va (VaSet
6036font "Courier New,8,0"
6037)
6038xt "-85000,65000,-56500,65800"
6039st "SIGNAL drs_s_cell_array : drs_s_cell_array_type"
6040)
6041)
6042*181 (Net
6043uid 4417,0
6044decl (Decl
6045n "drs_read_s_cell"
6046t "std_logic"
6047o 55
6048suid 100,0
6049i "'0'"
6050)
6051declText (MLText
6052uid 4418,0
6053va (VaSet
6054font "Courier New,8,0"
6055)
6056xt "-85000,63400,-41500,64200"
6057st "SIGNAL drs_read_s_cell : std_logic := '0'"
6058)
6059)
6060*182 (Net
6061uid 4535,0
6062decl (Decl
6063n "drs_channel_id"
6064t "std_logic_vector"
6065b "(3 downto 0)"
6066o 19
6067suid 109,0
6068i "(others => '0')"
6069)
6070declText (MLText
6071uid 4536,0
6072va (VaSet
6073font "Courier New,8,0"
6074)
6075xt "-85000,32600,-39000,33400"
6076st "drs_channel_id : std_logic_vector(3 downto 0) := (others => '0')"
6077)
6078)
6079*183 (Net
6080uid 4543,0
6081decl (Decl
6082n "drs_dwrite"
6083t "std_logic"
6084o 20
6085suid 110,0
6086i "'1'"
6087)
6088declText (MLText
6089uid 4544,0
6090va (VaSet
6091font "Courier New,8,0"
6092)
6093xt "-85000,33400,-45000,34200"
6094st "drs_dwrite : std_logic := '1'"
6095)
6096)
6097*184 (PortIoOut
6098uid 4551,0
6099shape (CompositeShape
6100uid 4552,0
6101va (VaSet
6102vasetType 1
6103fg "0,0,32768"
6104)
6105optionalChildren [
6106(Pentagon
6107uid 4553,0
6108sl 0
6109ro 90
6110xt "-28000,56625,-26500,57375"
6111)
6112(Line
6113uid 4554,0
6114sl 0
6115ro 90
6116xt "-26500,57000,-26000,57000"
6117pts [
6118"-26000,57000"
6119"-26500,57000"
6120]
6121)
6122]
6123)
6124stc 0
6125sf 1
6126tg (WTG
6127uid 4555,0
6128ps "PortIoTextPlaceStrategy"
6129stg "STSignalDisplayStrategy"
6130f (Text
6131uid 4556,0
6132va (VaSet
6133)
6134xt "-34900,56500,-29000,57500"
6135st "drs_channel_id"
6136ju 2
6137blo "-29000,57300"
6138tm "WireNameMgr"
6139)
6140)
6141)
6142*185 (PortIoOut
6143uid 4557,0
6144shape (CompositeShape
6145uid 4558,0
6146va (VaSet
6147vasetType 1
6148fg "0,0,32768"
6149)
6150optionalChildren [
6151(Pentagon
6152uid 4559,0
6153sl 0
6154ro 90
6155xt "-28000,59625,-26500,60375"
6156)
6157(Line
6158uid 4560,0
6159sl 0
6160ro 90
6161xt "-26500,60000,-26000,60000"
6162pts [
6163"-26000,60000"
6164"-26500,60000"
6165]
6166)
6167]
6168)
6169stc 0
6170sf 1
6171tg (WTG
6172uid 4561,0
6173ps "PortIoTextPlaceStrategy"
6174stg "STSignalDisplayStrategy"
6175f (Text
6176uid 4562,0
6177va (VaSet
6178)
6179xt "-33300,59500,-29000,60500"
6180st "drs_dwrite"
6181ju 2
6182blo "-29000,60300"
6183tm "WireNameMgr"
6184)
6185)
6186)
6187*186 (Net
6188uid 4669,0
6189decl (Decl
6190n "SROUT_in_0"
6191t "std_logic"
6192o 2
6193suid 112,0
6194)
6195declText (MLText
6196uid 4670,0
6197va (VaSet
6198font "Courier New,8,0"
6199)
6200xt "-85000,19000,-66500,19800"
6201st "SROUT_in_0 : std_logic"
6202)
6203)
6204*187 (Net
6205uid 4677,0
6206decl (Decl
6207n "SROUT_in_1"
6208t "std_logic"
6209o 3
6210suid 113,0
6211)
6212declText (MLText
6213uid 4678,0
6214va (VaSet
6215font "Courier New,8,0"
6216)
6217xt "-85000,19800,-66500,20600"
6218st "SROUT_in_1 : std_logic"
6219)
6220)
6221*188 (Net
6222uid 4685,0
6223decl (Decl
6224n "SROUT_in_2"
6225t "std_logic"
6226o 4
6227suid 114,0
6228)
6229declText (MLText
6230uid 4686,0
6231va (VaSet
6232font "Courier New,8,0"
6233)
6234xt "-85000,20600,-66500,21400"
6235st "SROUT_in_2 : std_logic"
6236)
6237)
6238*189 (Net
6239uid 4693,0
6240decl (Decl
6241n "SROUT_in_3"
6242t "std_logic"
6243o 5
6244suid 115,0
6245)
6246declText (MLText
6247uid 4694,0
6248va (VaSet
6249font "Courier New,8,0"
6250)
6251xt "-85000,21400,-66500,22200"
6252st "SROUT_in_3 : std_logic"
6253)
6254)
6255*190 (PortIoIn
6256uid 4701,0
6257shape (CompositeShape
6258uid 4702,0
6259va (VaSet
6260vasetType 1
6261fg "0,0,32768"
6262)
6263optionalChildren [
6264(Pentagon
6265uid 4703,0
6266sl 0
6267ro 270
6268xt "-28000,46625,-26500,47375"
6269)
6270(Line
6271uid 4704,0
6272sl 0
6273ro 270
6274xt "-26500,47000,-26000,47000"
6275pts [
6276"-26500,47000"
6277"-26000,47000"
6278]
6279)
6280]
6281)
6282stc 0
6283sf 1
6284tg (WTG
6285uid 4705,0
6286ps "PortIoTextPlaceStrategy"
6287stg "STSignalDisplayStrategy"
6288f (Text
6289uid 4706,0
6290va (VaSet
6291)
6292xt "-34400,46500,-29000,47500"
6293st "SROUT_in_0"
6294ju 2
6295blo "-29000,47300"
6296tm "WireNameMgr"
6297)
6298)
6299)
6300*191 (PortIoIn
6301uid 4707,0
6302shape (CompositeShape
6303uid 4708,0
6304va (VaSet
6305vasetType 1
6306fg "0,0,32768"
6307)
6308optionalChildren [
6309(Pentagon
6310uid 4709,0
6311sl 0
6312ro 270
6313xt "-28000,47625,-26500,48375"
6314)
6315(Line
6316uid 4710,0
6317sl 0
6318ro 270
6319xt "-26500,48000,-26000,48000"
6320pts [
6321"-26500,48000"
6322"-26000,48000"
6323]
6324)
6325]
6326)
6327stc 0
6328sf 1
6329tg (WTG
6330uid 4711,0
6331ps "PortIoTextPlaceStrategy"
6332stg "STSignalDisplayStrategy"
6333f (Text
6334uid 4712,0
6335va (VaSet
6336)
6337xt "-34400,47500,-29000,48500"
6338st "SROUT_in_1"
6339ju 2
6340blo "-29000,48300"
6341tm "WireNameMgr"
6342)
6343)
6344)
6345*192 (PortIoIn
6346uid 4713,0
6347shape (CompositeShape
6348uid 4714,0
6349va (VaSet
6350vasetType 1
6351fg "0,0,32768"
6352)
6353optionalChildren [
6354(Pentagon
6355uid 4715,0
6356sl 0
6357ro 270
6358xt "-28000,48625,-26500,49375"
6359)
6360(Line
6361uid 4716,0
6362sl 0
6363ro 270
6364xt "-26500,49000,-26000,49000"
6365pts [
6366"-26500,49000"
6367"-26000,49000"
6368]
6369)
6370]
6371)
6372stc 0
6373sf 1
6374tg (WTG
6375uid 4717,0
6376ps "PortIoTextPlaceStrategy"
6377stg "STSignalDisplayStrategy"
6378f (Text
6379uid 4718,0
6380va (VaSet
6381)
6382xt "-34400,48500,-29000,49500"
6383st "SROUT_in_2"
6384ju 2
6385blo "-29000,49300"
6386tm "WireNameMgr"
6387)
6388)
6389)
6390*193 (PortIoIn
6391uid 4719,0
6392shape (CompositeShape
6393uid 4720,0
6394va (VaSet
6395vasetType 1
6396fg "0,0,32768"
6397)
6398optionalChildren [
6399(Pentagon
6400uid 4721,0
6401sl 0
6402ro 270
6403xt "-28000,49625,-26500,50375"
6404)
6405(Line
6406uid 4722,0
6407sl 0
6408ro 270
6409xt "-26500,50000,-26000,50000"
6410pts [
6411"-26500,50000"
6412"-26000,50000"
6413]
6414)
6415]
6416)
6417stc 0
6418sf 1
6419tg (WTG
6420uid 4723,0
6421ps "PortIoTextPlaceStrategy"
6422stg "STSignalDisplayStrategy"
6423f (Text
6424uid 4724,0
6425va (VaSet
6426)
6427xt "-34400,49500,-29000,50500"
6428st "SROUT_in_3"
6429ju 2
6430blo "-29000,50300"
6431tm "WireNameMgr"
6432)
6433)
6434)
6435*194 (Net
6436uid 4741,0
6437decl (Decl
6438n "drs_read_s_cell_ready"
6439t "std_logic"
6440o 56
6441suid 116,0
6442)
6443declText (MLText
6444uid 4742,0
6445va (VaSet
6446font "Courier New,8,0"
6447)
6448xt "-85000,64200,-62500,65000"
6449st "SIGNAL drs_read_s_cell_ready : std_logic"
6450)
6451)
6452*195 (SaComponent
6453uid 4903,0
6454optionalChildren [
6455*196 (CptPort
6456uid 4867,0
6457ps "OnEdgeStrategy"
6458shape (Triangle
6459uid 4868,0
6460ro 90
6461va (VaSet
6462vasetType 1
6463fg "0,65535,0"
6464)
6465xt "-18750,44625,-18000,45375"
6466)
6467tg (CPTG
6468uid 4869,0
6469ps "CptPortTextPlaceStrategy"
6470stg "VerticalLayoutStrategy"
6471f (Text
6472uid 4870,0
6473va (VaSet
6474)
6475xt "-17000,44500,-15100,45500"
6476st "CLK"
6477blo "-17000,45300"
6478)
6479)
6480thePort (LogicalPort
6481decl (Decl
6482n "CLK"
6483t "std_logic"
6484o 1
6485)
6486)
6487)
6488*197 (CptPort
6489uid 4871,0
6490ps "OnEdgeStrategy"
6491shape (Triangle
6492uid 4872,0
6493ro 270
6494va (VaSet
6495vasetType 1
6496fg "0,65535,0"
6497)
6498xt "1000,52625,1750,53375"
6499)
6500tg (CPTG
6501uid 4873,0
6502ps "CptPortTextPlaceStrategy"
6503stg "RightVerticalLayoutStrategy"
6504f (Text
6505uid 4874,0
6506va (VaSet
6507)
6508xt "-7500,52500,0,53500"
6509st "start_endless_mode"
6510ju 2
6511blo "0,53300"
6512)
6513)
6514thePort (LogicalPort
6515decl (Decl
6516n "start_endless_mode"
6517t "std_logic"
6518o 2
6519)
6520)
6521)
6522*198 (CptPort
6523uid 4875,0
6524ps "OnEdgeStrategy"
6525shape (Triangle
6526uid 4876,0
6527ro 270
6528va (VaSet
6529vasetType 1
6530fg "0,65535,0"
6531)
6532xt "1000,49625,1750,50375"
6533)
6534tg (CPTG
6535uid 4877,0
6536ps "CptPortTextPlaceStrategy"
6537stg "RightVerticalLayoutStrategy"
6538f (Text
6539uid 4878,0
6540va (VaSet
6541)
6542xt "-10200,49500,0,50500"
6543st "start_read_stop_pos_mode"
6544ju 2
6545blo "0,50300"
6546)
6547)
6548thePort (LogicalPort
6549decl (Decl
6550n "start_read_stop_pos_mode"
6551t "std_logic"
6552o 3
6553)
6554)
6555)
6556*199 (CptPort
6557uid 4879,0
6558ps "OnEdgeStrategy"
6559shape (Triangle
6560uid 4880,0
6561ro 90
6562va (VaSet
6563vasetType 1
6564fg "0,65535,0"
6565)
6566xt "-18750,46625,-18000,47375"
6567)
6568tg (CPTG
6569uid 4881,0
6570ps "CptPortTextPlaceStrategy"
6571stg "VerticalLayoutStrategy"
6572f (Text
6573uid 4882,0
6574va (VaSet
6575)
6576xt "-17000,46500,-11600,47500"
6577st "SROUT_in_0"
6578blo "-17000,47300"
6579)
6580)
6581thePort (LogicalPort
6582decl (Decl
6583n "SROUT_in_0"
6584t "std_logic"
6585o 4
6586)
6587)
6588)
6589*200 (CptPort
6590uid 4883,0
6591ps "OnEdgeStrategy"
6592shape (Triangle
6593uid 4884,0
6594ro 90
6595va (VaSet
6596vasetType 1
6597fg "0,65535,0"
6598)
6599xt "-18750,47625,-18000,48375"
6600)
6601tg (CPTG
6602uid 4885,0
6603ps "CptPortTextPlaceStrategy"
6604stg "VerticalLayoutStrategy"
6605f (Text
6606uid 4886,0
6607va (VaSet
6608)
6609xt "-17000,47500,-11600,48500"
6610st "SROUT_in_1"
6611blo "-17000,48300"
6612)
6613)
6614thePort (LogicalPort
6615decl (Decl
6616n "SROUT_in_1"
6617t "std_logic"
6618o 5
6619)
6620)
6621)
6622*201 (CptPort
6623uid 4887,0
6624ps "OnEdgeStrategy"
6625shape (Triangle
6626uid 4888,0
6627ro 90
6628va (VaSet
6629vasetType 1
6630fg "0,65535,0"
6631)
6632xt "-18750,48625,-18000,49375"
6633)
6634tg (CPTG
6635uid 4889,0
6636ps "CptPortTextPlaceStrategy"
6637stg "VerticalLayoutStrategy"
6638f (Text
6639uid 4890,0
6640va (VaSet
6641)
6642xt "-17000,48500,-11600,49500"
6643st "SROUT_in_2"
6644blo "-17000,49300"
6645)
6646)
6647thePort (LogicalPort
6648decl (Decl
6649n "SROUT_in_2"
6650t "std_logic"
6651o 6
6652)
6653)
6654)
6655*202 (CptPort
6656uid 4891,0
6657ps "OnEdgeStrategy"
6658shape (Triangle
6659uid 4892,0
6660ro 90
6661va (VaSet
6662vasetType 1
6663fg "0,65535,0"
6664)
6665xt "-18750,49625,-18000,50375"
6666)
6667tg (CPTG
6668uid 4893,0
6669ps "CptPortTextPlaceStrategy"
6670stg "VerticalLayoutStrategy"
6671f (Text
6672uid 4894,0
6673va (VaSet
6674)
6675xt "-17000,49500,-11600,50500"
6676st "SROUT_in_3"
6677blo "-17000,50300"
6678)
6679)
6680thePort (LogicalPort
6681decl (Decl
6682n "SROUT_in_3"
6683t "std_logic"
6684o 7
6685)
6686)
6687)
6688*203 (CptPort
6689uid 4895,0
6690ps "OnEdgeStrategy"
6691shape (Triangle
6692uid 4896,0
6693ro 90
6694va (VaSet
6695vasetType 1
6696fg "0,65535,0"
6697)
6698xt "1000,51625,1750,52375"
6699)
6700tg (CPTG
6701uid 4897,0
6702ps "CptPortTextPlaceStrategy"
6703stg "RightVerticalLayoutStrategy"
6704f (Text
6705uid 4898,0
6706va (VaSet
6707)
6708xt "-3400,51500,0,52500"
6709st "stop_pos"
6710ju 2
6711blo "0,52300"
6712)
6713)
6714thePort (LogicalPort
6715m 1
6716decl (Decl
6717n "stop_pos"
6718t "drs_s_cell_array_type"
6719o 8
6720)
6721)
6722)
6723*204 (CptPort
6724uid 4899,0
6725ps "OnEdgeStrategy"
6726shape (Triangle
6727uid 4900,0
6728ro 90
6729va (VaSet
6730vasetType 1
6731fg "0,65535,0"
6732)
6733xt "1000,50625,1750,51375"
6734)
6735tg (CPTG
6736uid 4901,0
6737ps "CptPortTextPlaceStrategy"
6738stg "RightVerticalLayoutStrategy"
6739f (Text
6740uid 4902,0
6741va (VaSet
6742)
6743xt "-5700,50500,0,51500"
6744st "stop_pos_valid"
6745ju 2
6746blo "0,51300"
6747)
6748)
6749thePort (LogicalPort
6750m 1
6751decl (Decl
6752n "stop_pos_valid"
6753t "std_logic"
6754o 9
6755i "'0'"
6756)
6757)
6758)
6759*205 (CptPort
6760uid 4938,0
6761ps "OnEdgeStrategy"
6762shape (Triangle
6763uid 4939,0
6764ro 270
6765va (VaSet
6766vasetType 1
6767fg "0,65535,0"
6768)
6769xt "-18750,50625,-18000,51375"
6770)
6771tg (CPTG
6772uid 4940,0
6773ps "CptPortTextPlaceStrategy"
6774stg "VerticalLayoutStrategy"
6775f (Text
6776uid 4941,0
6777va (VaSet
6778)
6779xt "-17000,50500,-12800,51500"
6780st "RSRLOAD"
6781blo "-17000,51300"
6782)
6783)
6784thePort (LogicalPort
6785m 1
6786decl (Decl
6787n "RSRLOAD"
6788t "std_logic"
6789o 10
6790i "'0'"
6791)
6792)
6793)
6794*206 (CptPort
6795uid 4942,0
6796ps "OnEdgeStrategy"
6797shape (Triangle
6798uid 4943,0
6799ro 270
6800va (VaSet
6801vasetType 1
6802fg "0,65535,0"
6803)
6804xt "-18750,51625,-18000,52375"
6805)
6806tg (CPTG
6807uid 4944,0
6808ps "CptPortTextPlaceStrategy"
6809stg "VerticalLayoutStrategy"
6810f (Text
6811uid 4945,0
6812va (VaSet
6813)
6814xt "-17000,51500,-14000,52500"
6815st "SRCLK"
6816blo "-17000,52300"
6817)
6818)
6819thePort (LogicalPort
6820m 1
6821decl (Decl
6822n "SRCLK"
6823t "std_logic"
6824o 11
6825i "'0'"
6826)
6827)
6828)
6829]
6830shape (Rectangle
6831uid 4904,0
6832va (VaSet
6833vasetType 1
6834fg "0,65535,0"
6835lineColor "0,32896,0"
6836lineWidth 2
6837)
6838xt "-18000,44000,1000,54000"
6839)
6840oxt "0,0,8000,10000"
6841ttg (MlTextGroup
6842uid 4905,0
6843ps "CenterOffsetStrategy"
6844stg "VerticalLayoutStrategy"
6845textVec [
6846*207 (Text
6847uid 4906,0
6848va (VaSet
6849font "Arial,8,1"
6850)
6851xt "-17400,54000,-10800,55000"
6852st "FACT_FAD_LIB"
6853blo "-17400,54800"
6854tm "BdLibraryNameMgr"
6855)
6856*208 (Text
6857uid 4907,0
6858va (VaSet
6859font "Arial,8,1"
6860)
6861xt "-17400,55000,-12700,56000"
6862st "drs_pulser"
6863blo "-17400,55800"
6864tm "CptNameMgr"
6865)
6866*209 (Text
6867uid 4908,0
6868va (VaSet
6869font "Arial,8,1"
6870)
6871xt "-17400,56000,-9900,57000"
6872st "I_main_drs_pulser"
6873blo "-17400,56800"
6874tm "InstanceNameMgr"
6875)
6876]
6877)
6878ga (GenericAssociation
6879uid 4909,0
6880ps "EdgeToEdgeStrategy"
6881matrix (Matrix
6882uid 4910,0
6883text (MLText
6884uid 4911,0
6885va (VaSet
6886font "Courier New,8,0"
6887)
6888xt "-8500,46000,-8500,46000"
6889)
6890header ""
6891)
6892elements [
6893]
6894)
6895viewicon (ZoomableIcon
6896uid 4912,0
6897sl 0
6898va (VaSet
6899vasetType 1
6900fg "49152,49152,49152"
6901)
6902xt "-17750,52250,-16250,53750"
6903iconName "VhdlFileViewIcon.png"
6904iconMaskName "VhdlFileViewIcon.msk"
6905ftype 10
6906)
6907ordering 1
6908viewiconposition 0
6909portVis (PortSigDisplay
6910)
6911archFileType "UNKNOWN"
6912)
6913*210 (Net
6914uid 4946,0
6915decl (Decl
6916n "RSRLOAD"
6917t "std_logic"
6918o 14
6919suid 117,0
6920i "'0'"
6921)
6922declText (MLText
6923uid 4947,0
6924va (VaSet
6925font "Courier New,8,0"
6926)
6927xt "-85000,28600,-45000,29400"
6928st "RSRLOAD : std_logic := '0'"
6929)
6930)
6931*211 (PortIoOut
6932uid 4954,0
6933shape (CompositeShape
6934uid 4955,0
6935va (VaSet
6936vasetType 1
6937fg "0,0,32768"
6938)
6939optionalChildren [
6940(Pentagon
6941uid 4956,0
6942sl 0
6943ro 90
6944xt "-28000,50625,-26500,51375"
6945)
6946(Line
6947uid 4957,0
6948sl 0
6949ro 90
6950xt "-26500,51000,-26000,51000"
6951pts [
6952"-26000,51000"
6953"-26500,51000"
6954]
6955)
6956]
6957)
6958stc 0
6959sf 1
6960tg (WTG
6961uid 4958,0
6962ps "PortIoTextPlaceStrategy"
6963stg "STSignalDisplayStrategy"
6964f (Text
6965uid 4959,0
6966va (VaSet
6967)
6968xt "-33200,50500,-29000,51500"
6969st "RSRLOAD"
6970ju 2
6971blo "-29000,51300"
6972tm "WireNameMgr"
6973)
6974)
6975)
6976*212 (Net
6977uid 4960,0
6978decl (Decl
6979n "SRCLK"
6980t "std_logic"
6981o 15
6982suid 118,0
6983i "'0'"
6984)
6985declText (MLText
6986uid 4961,0
6987va (VaSet
6988font "Courier New,8,0"
6989)
6990xt "-85000,29400,-45000,30200"
6991st "SRCLK : std_logic := '0'"
6992)
6993)
6994*213 (PortIoOut
6995uid 4968,0
6996shape (CompositeShape
6997uid 4969,0
6998va (VaSet
6999vasetType 1
7000fg "0,0,32768"
7001)
7002optionalChildren [
7003(Pentagon
7004uid 4970,0
7005sl 0
7006ro 90
7007xt "-28000,51625,-26500,52375"
7008)
7009(Line
7010uid 4971,0
7011sl 0
7012ro 90
7013xt "-26500,52000,-26000,52000"
7014pts [
7015"-26000,52000"
7016"-26500,52000"
7017]
7018)
7019]
7020)
7021stc 0
7022sf 1
7023tg (WTG
7024uid 4972,0
7025ps "PortIoTextPlaceStrategy"
7026stg "STSignalDisplayStrategy"
7027f (Text
7028uid 4973,0
7029va (VaSet
7030)
7031xt "-32000,51500,-29000,52500"
7032st "SRCLK"
7033ju 2
7034blo "-29000,52300"
7035tm "WireNameMgr"
7036)
7037)
7038)
7039*214 (SaComponent
7040uid 5072,0
7041optionalChildren [
7042*215 (CptPort
7043uid 5028,0
7044ps "OnEdgeStrategy"
7045shape (Triangle
7046uid 5029,0
7047ro 90
7048va (VaSet
7049vasetType 1
7050fg "0,65535,0"
7051)
7052xt "73250,99625,74000,100375"
7053)
7054tg (CPTG
7055uid 5030,0
7056ps "CptPortTextPlaceStrategy"
7057stg "VerticalLayoutStrategy"
7058f (Text
7059uid 5031,0
7060va (VaSet
7061)
7062xt "75000,99500,76300,100500"
7063st "clk"
7064blo "75000,100300"
7065)
7066)
7067thePort (LogicalPort
7068decl (Decl
7069n "clk"
7070t "STD_LOGIC"
7071o 1
7072suid 1,0
7073)
7074)
7075)
7076*216 (CptPort
7077uid 5032,0
7078ps "OnEdgeStrategy"
7079shape (Triangle
7080uid 5033,0
7081ro 270
7082va (VaSet
7083vasetType 1
7084fg "0,65535,0"
7085)
7086xt "92000,99625,92750,100375"
7087)
7088tg (CPTG
7089uid 5034,0
7090ps "CptPortTextPlaceStrategy"
7091stg "RightVerticalLayoutStrategy"
7092f (Text
7093uid 5035,0
7094va (VaSet
7095)
7096xt "84000,99500,91000,100500"
7097st "config_addr : (7:0)"
7098ju 2
7099blo "91000,100300"
7100)
7101)
7102thePort (LogicalPort
7103decl (Decl
7104n "config_addr"
7105t "std_logic_vector"
7106b "(7 DOWNTO 0)"
7107o 2
7108suid 2,0
7109)
7110)
7111)
7112*217 (CptPort
7113uid 5036,0
7114ps "OnEdgeStrategy"
7115shape (Triangle
7116uid 5037,0
7117ro 90
7118va (VaSet
7119vasetType 1
7120fg "0,65535,0"
7121)
7122xt "92000,101625,92750,102375"
7123)
7124tg (CPTG
7125uid 5038,0
7126ps "CptPortTextPlaceStrategy"
7127stg "RightVerticalLayoutStrategy"
7128f (Text
7129uid 5039,0
7130va (VaSet
7131)
7132xt "84400,101500,91000,102500"
7133st "config_data_valid"
7134ju 2
7135blo "91000,102300"
7136)
7137)
7138thePort (LogicalPort
7139m 1
7140decl (Decl
7141n "config_data_valid"
7142t "std_logic"
7143o 7
7144suid 3,0
7145)
7146)
7147)
7148*218 (CptPort
7149uid 5040,0
7150ps "OnEdgeStrategy"
7151shape (Triangle
7152uid 5041,0
7153ro 90
7154va (VaSet
7155vasetType 1
7156fg "0,65535,0"
7157)
7158xt "92000,105625,92750,106375"
7159)
7160tg (CPTG
7161uid 5042,0
7162ps "CptPortTextPlaceStrategy"
7163stg "RightVerticalLayoutStrategy"
7164f (Text
7165uid 5043,0
7166va (VaSet
7167)
7168xt "86200,105500,91000,106500"
7169st "config_busy"
7170ju 2
7171blo "91000,106300"
7172)
7173)
7174thePort (LogicalPort
7175m 1
7176decl (Decl
7177n "config_busy"
7178t "std_logic"
7179o 6
7180suid 4,0
7181)
7182)
7183)
7184*219 (CptPort
7185uid 5044,0
7186ps "OnEdgeStrategy"
7187shape (Diamond
7188uid 5045,0
7189ro 90
7190va (VaSet
7191vasetType 1
7192fg "0,65535,0"
7193)
7194xt "92000,100625,92750,101375"
7195)
7196tg (CPTG
7197uid 5046,0
7198ps "CptPortTextPlaceStrategy"
7199stg "RightVerticalLayoutStrategy"
7200f (Text
7201uid 5047,0
7202va (VaSet
7203)
7204xt "83300,100500,91000,101500"
7205st "config_data : (15:0)"
7206ju 2
7207blo "91000,101300"
7208)
7209)
7210thePort (LogicalPort
7211m 2
7212decl (Decl
7213n "config_data"
7214t "std_logic_vector"
7215b "(15 DOWNTO 0)"
7216o 12
7217suid 5,0
7218)
7219)
7220)
7221*220 (CptPort
7222uid 5048,0
7223ps "OnEdgeStrategy"
7224shape (Triangle
7225uid 5049,0
7226ro 270
7227va (VaSet
7228vasetType 1
7229fg "0,65535,0"
7230)
7231xt "73250,101625,74000,102375"
7232)
7233tg (CPTG
7234uid 5050,0
7235ps "CptPortTextPlaceStrategy"
7236stg "VerticalLayoutStrategy"
7237f (Text
7238uid 5051,0
7239va (VaSet
7240)
7241xt "75000,101500,78400,102500"
7242st "roi_array"
7243blo "75000,102300"
7244)
7245)
7246thePort (LogicalPort
7247m 1
7248decl (Decl
7249n "roi_array"
7250t "roi_array_type"
7251o 11
7252suid 6,0
7253)
7254)
7255)
7256*221 (CptPort
7257uid 5052,0
7258ps "OnEdgeStrategy"
7259shape (Triangle
7260uid 5053,0
7261ro 270
7262va (VaSet
7263vasetType 1
7264fg "0,65535,0"
7265)
7266xt "92000,103625,92750,104375"
7267)
7268tg (CPTG
7269uid 5054,0
7270ps "CptPortTextPlaceStrategy"
7271stg "RightVerticalLayoutStrategy"
7272f (Text
7273uid 5055,0
7274va (VaSet
7275)
7276xt "85700,103500,91000,104500"
7277st "config_wr_en"
7278ju 2
7279blo "91000,104300"
7280)
7281)
7282thePort (LogicalPort
7283decl (Decl
7284n "config_wr_en"
7285t "std_logic"
7286o 5
7287suid 7,0
7288)
7289)
7290)
7291*222 (CptPort
7292uid 5056,0
7293ps "OnEdgeStrategy"
7294shape (Triangle
7295uid 5057,0
7296ro 270
7297va (VaSet
7298vasetType 1
7299fg "0,65535,0"
7300)
7301xt "73250,108625,74000,109375"
7302)
7303tg (CPTG
7304uid 5058,0
7305ps "CptPortTextPlaceStrategy"
7306stg "VerticalLayoutStrategy"
7307f (Text
7308uid 5059,0
7309va (VaSet
7310)
7311xt "75000,108500,78700,109500"
7312st "dac_array"
7313blo "75000,109300"
7314)
7315)
7316thePort (LogicalPort
7317m 1
7318decl (Decl
7319n "dac_array"
7320t "dac_array_type"
7321o 10
7322suid 8,0
7323)
7324)
7325)
7326*223 (CptPort
7327uid 5060,0
7328ps "OnEdgeStrategy"
7329shape (Triangle
7330uid 5061,0
7331ro 270
7332va (VaSet
7333vasetType 1
7334fg "0,65535,0"
7335)
7336xt "92000,104625,92750,105375"
7337)
7338tg (CPTG
7339uid 5062,0
7340ps "CptPortTextPlaceStrategy"
7341stg "RightVerticalLayoutStrategy"
7342f (Text
7343uid 5063,0
7344va (VaSet
7345)
7346xt "85800,104500,91000,105500"
7347st "config_rd_en"
7348ju 2
7349blo "91000,105300"
7350)
7351)
7352thePort (LogicalPort
7353decl (Decl
7354n "config_rd_en"
7355t "std_logic"
7356o 3
7357suid 9,0
7358)
7359)
7360)
7361*224 (CptPort
7362uid 5064,0
7363ps "OnEdgeStrategy"
7364shape (Triangle
7365uid 5065,0
7366ro 90
7367va (VaSet
7368vasetType 1
7369fg "0,65535,0"
7370)
7371xt "73250,102625,74000,103375"
7372)
7373tg (CPTG
7374uid 5066,0
7375ps "CptPortTextPlaceStrategy"
7376stg "VerticalLayoutStrategy"
7377f (Text
7378uid 5067,0
7379va (VaSet
7380)
7381xt "75000,102500,79800,103500"
7382st "config_start"
7383blo "75000,103300"
7384)
7385)
7386thePort (LogicalPort
7387decl (Decl
7388n "config_start"
7389t "std_logic"
7390o 4
7391suid 10,0
7392)
7393)
7394)
7395*225 (CptPort
7396uid 5068,0
7397ps "OnEdgeStrategy"
7398shape (Triangle
7399uid 5069,0
7400ro 270
7401va (VaSet
7402vasetType 1
7403fg "0,65535,0"
7404)
7405xt "73250,104625,74000,105375"
7406)
7407tg (CPTG
7408uid 5070,0
7409ps "CptPortTextPlaceStrategy"
7410stg "VerticalLayoutStrategy"
7411f (Text
7412uid 5071,0
7413va (VaSet
7414)
7415xt "75000,104500,80100,105500"
7416st "config_ready"
7417blo "75000,105300"
7418)
7419)
7420thePort (LogicalPort
7421m 1
7422decl (Decl
7423n "config_ready"
7424t "std_logic"
7425o 8
7426suid 11,0
7427)
7428)
7429)
7430*226 (CptPort
7431uid 5995,0
7432ps "OnEdgeStrategy"
7433shape (Triangle
7434uid 5996,0
7435ro 270
7436va (VaSet
7437vasetType 1
7438fg "0,65535,0"
7439)
7440xt "73250,103625,74000,104375"
7441)
7442tg (CPTG
7443uid 5997,0
7444ps "CptPortTextPlaceStrategy"
7445stg "VerticalLayoutStrategy"
7446f (Text
7447uid 5998,0
7448va (VaSet
7449)
7450xt "75000,103500,80600,104500"
7451st "config_started"
7452blo "75000,104300"
7453)
7454)
7455thePort (LogicalPort
7456m 1
7457decl (Decl
7458n "config_started"
7459t "std_logic"
7460o 9
7461suid 12,0
7462i "'0'"
7463)
7464)
7465)
7466]
7467shape (Rectangle
7468uid 5073,0
7469va (VaSet
7470vasetType 1
7471fg "0,65535,0"
7472lineColor "0,32896,0"
7473lineWidth 2
7474)
7475xt "74000,99000,92000,112000"
7476)
7477oxt "15000,13000,33000,26000"
7478ttg (MlTextGroup
7479uid 5074,0
7480ps "CenterOffsetStrategy"
7481stg "VerticalLayoutStrategy"
7482textVec [
7483*227 (Text
7484uid 5075,0
7485va (VaSet
7486font "Arial,8,1"
7487)
7488xt "74200,112000,80400,113000"
7489st "FACT_FAD_lib"
7490blo "74200,112800"
7491tm "BdLibraryNameMgr"
7492)
7493*228 (Text
7494uid 5076,0
7495va (VaSet
7496font "Arial,8,1"
7497)
7498xt "74200,113000,79600,114000"
7499st "control_unit"
7500blo "74200,113800"
7501tm "CptNameMgr"
7502)
7503*229 (Text
7504uid 5077,0
7505va (VaSet
7506font "Arial,8,1"
7507)
7508xt "74200,114000,82400,115000"
7509st "I_main_control_unit"
7510blo "74200,114800"
7511tm "InstanceNameMgr"
7512)
7513]
7514)
7515ga (GenericAssociation
7516uid 5078,0
7517ps "EdgeToEdgeStrategy"
7518matrix (Matrix
7519uid 5079,0
7520text (MLText
7521uid 5080,0
7522va (VaSet
7523font "Courier New,8,0"
7524)
7525xt "59000,98800,59000,98800"
7526)
7527header ""
7528)
7529elements [
7530]
7531)
7532viewicon (ZoomableIcon
7533uid 5081,0
7534sl 0
7535va (VaSet
7536vasetType 1
7537fg "49152,49152,49152"
7538)
7539xt "74250,110250,75750,111750"
7540iconName "BlockDiagram.png"
7541iconMaskName "BlockDiagram.msk"
7542ftype 1
7543)
7544viewiconposition 0
7545portVis (PortSigDisplay
7546)
7547archFileType "UNKNOWN"
7548)
7549*230 (Net
7550uid 5088,0
7551decl (Decl
7552n "config_addr"
7553t "std_logic_vector"
7554b "(7 DOWNTO 0)"
7555o 36
7556suid 119,0
7557)
7558declText (MLText
7559uid 5089,0
7560va (VaSet
7561font "Courier New,8,0"
7562)
7563xt "-85000,48200,-53000,49000"
7564st "SIGNAL config_addr : std_logic_vector(7 DOWNTO 0)"
7565)
7566)
7567*231 (Net
7568uid 5096,0
7569decl (Decl
7570n "config_data_valid"
7571t "std_logic"
7572o 39
7573suid 120,0
7574)
7575declText (MLText
7576uid 5097,0
7577va (VaSet
7578font "Courier New,8,0"
7579)
7580xt "-85000,50600,-62500,51400"
7581st "SIGNAL config_data_valid : std_logic"
7582)
7583)
7584*232 (Net
7585uid 5104,0
7586decl (Decl
7587n "config_busy"
7588t "std_logic"
7589o 37
7590suid 121,0
7591)
7592declText (MLText
7593uid 5105,0
7594va (VaSet
7595font "Courier New,8,0"
7596)
7597xt "-85000,49000,-62500,49800"
7598st "SIGNAL config_busy : std_logic"
7599)
7600)
7601*233 (Net
7602uid 5112,0
7603decl (Decl
7604n "config_data"
7605t "std_logic_vector"
7606b "(15 DOWNTO 0)"
7607o 38
7608suid 122,0
7609)
7610declText (MLText
7611uid 5113,0
7612va (VaSet
7613font "Courier New,8,0"
7614)
7615xt "-85000,49800,-52500,50600"
7616st "SIGNAL config_data : std_logic_vector(15 DOWNTO 0)"
7617)
7618)
7619*234 (Net
7620uid 5120,0
7621decl (Decl
7622n "config_wr_en"
7623t "std_logic"
7624o 51
7625suid 123,0
7626)
7627declText (MLText
7628uid 5121,0
7629va (VaSet
7630font "Courier New,8,0"
7631)
7632xt "-85000,60200,-62500,61000"
7633st "SIGNAL config_wr_en : std_logic"
7634)
7635)
7636*235 (Net
7637uid 5128,0
7638decl (Decl
7639n "config_rd_en"
7640t "std_logic"
7641o 40
7642suid 124,0
7643)
7644declText (MLText
7645uid 5129,0
7646va (VaSet
7647font "Courier New,8,0"
7648)
7649xt "-85000,51400,-62500,52200"
7650st "SIGNAL config_rd_en : std_logic"
7651)
7652)
7653*236 (Net
7654uid 5144,0
7655decl (Decl
7656n "dac_array"
7657t "dac_array_type"
7658o 52
7659suid 126,0
7660)
7661declText (MLText
7662uid 5145,0
7663va (VaSet
7664font "Courier New,8,0"
7665)
7666xt "-85000,61000,-60000,61800"
7667st "SIGNAL dac_array : dac_array_type"
7668)
7669)
7670*237 (Net
7671uid 5194,0
7672decl (Decl
7673n "config_start_cm"
7674t "std_logic"
7675o 45
7676suid 131,0
7677)
7678declText (MLText
7679uid 5195,0
7680va (VaSet
7681font "Courier New,8,0"
7682)
7683xt "-85000,55400,-62500,56200"
7684st "SIGNAL config_start_cm : std_logic"
7685)
7686)
7687*238 (Net
7688uid 5196,0
7689decl (Decl
7690n "config_ready_cm"
7691t "std_logic"
7692o 42
7693suid 132,0
7694)
7695declText (MLText
7696uid 5197,0
7697va (VaSet
7698font "Courier New,8,0"
7699)
7700xt "-85000,53000,-62500,53800"
7701st "SIGNAL config_ready_cm : std_logic"
7702)
7703)
7704*239 (Net
7705uid 5220,0
7706decl (Decl
7707n "led"
7708t "std_logic_vector"
7709b "(7 DOWNTO 0)"
7710posAdd 0
7711o 21
7712suid 133,0
7713i "(OTHERS => '0')"
7714)
7715declText (MLText
7716uid 5221,0
7717va (VaSet
7718font "Courier New,8,0"
7719)
7720xt "-85000,34200,-39000,35000"
7721st "led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')"
7722)
7723)
7724*240 (Net
7725uid 5279,0
7726decl (Decl
7727n "s_trigger"
7728t "std_logic"
7729o 69
7730suid 134,0
7731i "'0'"
7732)
7733declText (MLText
7734uid 5280,0
7735va (VaSet
7736font "Courier New,8,0"
7737)
7738xt "-85000,74600,-41500,75400"
7739st "SIGNAL s_trigger : std_logic := '0'"
7740)
7741)
7742*241 (Net
7743uid 5472,0
7744decl (Decl
7745n "sensor_ready"
7746t "std_logic"
7747o 71
7748suid 140,0
7749)
7750declText (MLText
7751uid 5473,0
7752va (VaSet
7753font "Courier New,8,0"
7754)
7755xt "-85000,76200,-62500,77000"
7756st "SIGNAL sensor_ready : std_logic"
7757)
7758)
7759*242 (Net
7760uid 5478,0
7761decl (Decl
7762n "sensor_array"
7763t "sensor_array_type"
7764o 70
7765suid 141,0
7766)
7767declText (MLText
7768uid 5479,0
7769va (VaSet
7770font "Courier New,8,0"
7771)
7772xt "-85000,75400,-58500,76200"
7773st "SIGNAL sensor_array : sensor_array_type"
7774)
7775)
7776*243 (Net
7777uid 5588,0
7778decl (Decl
7779n "config_ready_spi"
7780t "std_logic"
7781o 43
7782suid 144,0
7783)
7784declText (MLText
7785uid 5589,0
7786va (VaSet
7787font "Courier New,8,0"
7788)
7789xt "-85000,53800,-62500,54600"
7790st "SIGNAL config_ready_spi : std_logic"
7791)
7792)
7793*244 (Net
7794uid 5632,0
7795lang 10
7796decl (Decl
7797n "adc_otr"
7798t "std_logic_vector"
7799b "(3 DOWNTO 0)"
7800o 34
7801suid 146,0
7802)
7803declText (MLText
7804uid 5633,0
7805va (VaSet
7806font "Courier New,8,0"
7807)
7808xt "-85000,46600,-53000,47400"
7809st "SIGNAL adc_otr : std_logic_vector(3 DOWNTO 0)"
7810)
7811)
7812*245 (Net
7813uid 5640,0
7814decl (Decl
7815n "adc_data_array_int"
7816t "adc_data_array_type"
7817o 33
7818suid 147,0
7819)
7820declText (MLText
7821uid 5641,0
7822va (VaSet
7823font "Courier New,8,0"
7824)
7825xt "-85000,45800,-57500,46600"
7826st "SIGNAL adc_data_array_int : adc_data_array_type"
7827)
7828)
7829*246 (SaComponent
7830uid 5678,0
7831optionalChildren [
7832*247 (CptPort
7833uid 5658,0
7834ps "OnEdgeStrategy"
7835shape (Triangle
7836uid 5659,0
7837ro 90
7838va (VaSet
7839vasetType 1
7840fg "0,65535,0"
7841)
7842xt "-18750,75625,-18000,76375"
7843)
7844tg (CPTG
7845uid 5660,0
7846ps "CptPortTextPlaceStrategy"
7847stg "VerticalLayoutStrategy"
7848f (Text
7849uid 5661,0
7850va (VaSet
7851font "arial,8,0"
7852)
7853xt "-17000,75500,-11100,76500"
7854st "adc_data_array"
7855blo "-17000,76300"
7856)
7857)
7858thePort (LogicalPort
7859decl (Decl
7860n "adc_data_array"
7861t "adc_data_array_type"
7862o 2
7863suid 5,0
7864)
7865)
7866)
7867*248 (CptPort
7868uid 5662,0
7869ps "OnEdgeStrategy"
7870shape (Triangle
7871uid 5663,0
7872ro 90
7873va (VaSet
7874vasetType 1
7875fg "0,65535,0"
7876)
7877xt "0,75625,750,76375"
7878)
7879tg (CPTG
7880uid 5664,0
7881ps "CptPortTextPlaceStrategy"
7882stg "RightVerticalLayoutStrategy"
7883f (Text
7884uid 5665,0
7885va (VaSet
7886font "arial,8,0"
7887)
7888xt "-8100,75500,-1000,76500"
7889st "adc_data_array_int"
7890ju 2
7891blo "-1000,76300"
7892)
7893)
7894thePort (LogicalPort
7895m 1
7896decl (Decl
7897n "adc_data_array_int"
7898t "adc_data_array_type"
7899o 4
7900suid 6,0
7901)
7902)
7903)
7904*249 (CptPort
7905uid 5666,0
7906ps "OnEdgeStrategy"
7907shape (Triangle
7908uid 5667,0
7909ro 90
7910va (VaSet
7911vasetType 1
7912fg "0,65535,0"
7913)
7914xt "0,76625,750,77375"
7915)
7916tg (CPTG
7917uid 5668,0
7918ps "CptPortTextPlaceStrategy"
7919stg "RightVerticalLayoutStrategy"
7920f (Text
7921uid 5669,0
7922va (VaSet
7923font "arial,8,0"
7924)
7925xt "-3900,76500,-1000,77500"
7926st "adc_otr"
7927ju 2
7928blo "-1000,77300"
7929)
7930)
7931thePort (LogicalPort
7932lang 10
7933m 1
7934decl (Decl
7935n "adc_otr"
7936t "std_logic_vector"
7937b "(3 DOWNTO 0)"
7938o 5
7939suid 7,0
7940)
7941)
7942)
7943*250 (CptPort
7944uid 5670,0
7945ps "OnEdgeStrategy"
7946shape (Triangle
7947uid 5671,0
7948ro 90
7949va (VaSet
7950vasetType 1
7951fg "0,65535,0"
7952)
7953xt "-18750,76625,-18000,77375"
7954)
7955tg (CPTG
7956uid 5672,0
7957ps "CptPortTextPlaceStrategy"
7958stg "VerticalLayoutStrategy"
7959f (Text
7960uid 5673,0
7961va (VaSet
7962font "arial,8,0"
7963)
7964xt "-17000,76500,-11600,77500"
7965st "adc_otr_array"
7966blo "-17000,77300"
7967)
7968)
7969thePort (LogicalPort
7970decl (Decl
7971n "adc_otr_array"
7972t "std_logic_vector"
7973b "(3 DOWNTO 0)"
7974o 3
7975suid 8,0
7976)
7977)
7978)
7979*251 (CptPort
7980uid 5674,0
7981ps "OnEdgeStrategy"
7982shape (Triangle
7983uid 5675,0
7984ro 90
7985va (VaSet
7986vasetType 1
7987fg "0,65535,0"
7988)
7989xt "-18750,73625,-18000,74375"
7990)
7991tg (CPTG
7992uid 5676,0
7993ps "CptPortTextPlaceStrategy"
7994stg "VerticalLayoutStrategy"
7995f (Text
7996uid 5677,0
7997va (VaSet
7998font "arial,8,0"
7999)
8000xt "-17000,73500,-14500,74500"
8001st "clk_ps"
8002blo "-17000,74300"
8003)
8004)
8005thePort (LogicalPort
8006lang 10
8007decl (Decl
8008n "clk_ps"
8009t "std_logic"
8010o 1
8011suid 9,0
8012)
8013)
8014)
8015]
8016shape (Rectangle
8017uid 5679,0
8018va (VaSet
8019vasetType 1
8020fg "0,65535,0"
8021lineColor "0,32896,0"
8022lineWidth 2
8023)
8024xt "-18000,73000,0,80000"
8025)
8026oxt "15000,6000,23000,11000"
8027ttg (MlTextGroup
8028uid 5680,0
8029ps "CenterOffsetStrategy"
8030stg "VerticalLayoutStrategy"
8031textVec [
8032*252 (Text
8033uid 5681,0
8034va (VaSet
8035font "arial,8,1"
8036)
8037xt "900,77500,7100,78500"
8038st "FACT_FAD_lib"
8039blo "900,78300"
8040tm "BdLibraryNameMgr"
8041)
8042*253 (Text
8043uid 5682,0
8044va (VaSet
8045font "arial,8,1"
8046)
8047xt "900,78500,5700,79500"
8048st "adc_buffer"
8049blo "900,79300"
8050tm "CptNameMgr"
8051)
8052*254 (Text
8053uid 5683,0
8054va (VaSet
8055font "arial,8,1"
8056)
8057xt "900,79500,8500,80500"
8058st "I_main_adc_buffer"
8059blo "900,80300"
8060tm "InstanceNameMgr"
8061)
8062]
8063)
8064ga (GenericAssociation
8065uid 5684,0
8066ps "EdgeToEdgeStrategy"
8067matrix (Matrix
8068uid 5685,0
8069text (MLText
8070uid 5686,0
8071va (VaSet
8072font "Courier New,8,0"
8073)
8074xt "-38000,73300,-38000,73300"
8075)
8076header ""
8077)
8078elements [
8079]
8080)
8081viewicon (ZoomableIcon
8082uid 5687,0
8083sl 0
8084va (VaSet
8085vasetType 1
8086fg "49152,49152,49152"
8087)
8088xt "-17750,78250,-16250,79750"
8089iconName "VhdlFileViewIcon.png"
8090iconMaskName "VhdlFileViewIcon.msk"
8091ftype 10
8092)
8093ordering 1
8094viewiconposition 0
8095portVis (PortSigDisplay
8096sTC 0
8097sF 0
8098)
8099archFileType "UNKNOWN"
8100)
8101*255 (Net
8102uid 5743,0
8103decl (Decl
8104n "config_start_spi"
8105t "std_logic"
8106o 46
8107suid 149,0
8108i "'0'"
8109)
8110declText (MLText
8111uid 5744,0
8112va (VaSet
8113font "Courier New,8,0"
8114)
8115xt "-85000,56200,-41500,57000"
8116st "SIGNAL config_start_spi : std_logic := '0'"
8117)
8118)
8119*256 (SaComponent
8120uid 5793,0
8121optionalChildren [
8122*257 (CptPort
8123uid 5753,0
8124ps "OnEdgeStrategy"
8125shape (Triangle
8126uid 5754,0
8127ro 270
8128va (VaSet
8129vasetType 1
8130fg "0,65535,0"
8131)
8132xt "20250,106625,21000,107375"
8133)
8134tg (CPTG
8135uid 5755,0
8136ps "CptPortTextPlaceStrategy"
8137stg "VerticalLayoutStrategy"
8138f (Text
8139uid 5756,0
8140va (VaSet
8141)
8142xt "22000,106500,23700,107500"
8143st "sclk"
8144blo "22000,107300"
8145)
8146)
8147thePort (LogicalPort
8148m 1
8149decl (Decl
8150n "sclk"
8151t "std_logic"
8152o 8
8153suid 1,0
8154)
8155)
8156)
8157*258 (CptPort
8158uid 5761,0
8159ps "OnEdgeStrategy"
8160shape (Triangle
8161uid 5762,0
8162ro 270
8163va (VaSet
8164vasetType 1
8165fg "0,65535,0"
8166)
8167xt "37000,108625,37750,109375"
8168)
8169tg (CPTG
8170uid 5763,0
8171ps "CptPortTextPlaceStrategy"
8172stg "RightVerticalLayoutStrategy"
8173f (Text
8174uid 5764,0
8175va (VaSet
8176)
8177xt "32300,108500,36000,109500"
8178st "dac_array"
8179ju 2
8180blo "36000,109300"
8181)
8182)
8183thePort (LogicalPort
8184decl (Decl
8185n "dac_array"
8186t "dac_array_type"
8187o 3
8188suid 10,0
8189)
8190)
8191)
8192*259 (CptPort
8193uid 5765,0
8194ps "OnEdgeStrategy"
8195shape (Triangle
8196uid 5766,0
8197ro 90
8198va (VaSet
8199vasetType 1
8200fg "0,65535,0"
8201)
8202xt "37000,106625,37750,107375"
8203)
8204tg (CPTG
8205uid 5767,0
8206ps "CptPortTextPlaceStrategy"
8207stg "RightVerticalLayoutStrategy"
8208f (Text
8209uid 5768,0
8210va (VaSet
8211)
8212xt "30900,106500,36000,107500"
8213st "config_ready"
8214ju 2
8215blo "36000,107300"
8216)
8217)
8218thePort (LogicalPort
8219m 1
8220decl (Decl
8221n "config_ready"
8222t "std_logic"
8223o 4
8224suid 11,0
8225)
8226)
8227)
8228*260 (CptPort
8229uid 5769,0
8230ps "OnEdgeStrategy"
8231shape (Triangle
8232uid 5770,0
8233ro 270
8234va (VaSet
8235vasetType 1
8236fg "0,65535,0"
8237)
8238xt "37000,104625,37750,105375"
8239)
8240tg (CPTG
8241uid 5771,0
8242ps "CptPortTextPlaceStrategy"
8243stg "RightVerticalLayoutStrategy"
8244f (Text
8245uid 5772,0
8246va (VaSet
8247)
8248xt "31200,104500,36000,105500"
8249st "config_start"
8250ju 2
8251blo "36000,105300"
8252)
8253)
8254thePort (LogicalPort
8255decl (Decl
8256n "config_start"
8257t "std_logic"
8258o 2
8259suid 12,0
8260)
8261)
8262)
8263*261 (CptPort
8264uid 5773,0
8265ps "OnEdgeStrategy"
8266shape (Triangle
8267uid 5774,0
8268ro 90
8269va (VaSet
8270vasetType 1
8271fg "0,65535,0"
8272)
8273xt "37000,101625,37750,102375"
8274)
8275tg (CPTG
8276uid 5775,0
8277ps "CptPortTextPlaceStrategy"
8278stg "RightVerticalLayoutStrategy"
8279f (Text
8280uid 5776,0
8281va (VaSet
8282)
8283xt "30800,101500,36000,102500"
8284st "sensor_array"
8285ju 2
8286blo "36000,102300"
8287)
8288)
8289thePort (LogicalPort
8290m 1
8291decl (Decl
8292n "sensor_array"
8293t "sensor_array_type"
8294o 9
8295suid 13,0
8296)
8297)
8298)
8299*262 (CptPort
8300uid 5777,0
8301ps "OnEdgeStrategy"
8302shape (Triangle
8303uid 5778,0
8304ro 90
8305va (VaSet
8306vasetType 1
8307fg "0,65535,0"
8308)
8309xt "37000,100625,37750,101375"
8310)
8311tg (CPTG
8312uid 5779,0
8313ps "CptPortTextPlaceStrategy"
8314stg "RightVerticalLayoutStrategy"
8315f (Text
8316uid 5780,0
8317va (VaSet
8318)
8319xt "30700,100500,36000,101500"
8320st "sensor_ready"
8321ju 2
8322blo "36000,101300"
8323)
8324)
8325thePort (LogicalPort
8326m 1
8327decl (Decl
8328n "sensor_ready"
8329t "std_logic"
8330o 11
8331suid 14,0
8332)
8333)
8334)
8335*263 (CptPort
8336uid 5781,0
8337ps "OnEdgeStrategy"
8338shape (Triangle
8339uid 5782,0
8340ro 270
8341va (VaSet
8342vasetType 1
8343fg "0,65535,0"
8344)
8345xt "20250,104625,21000,105375"
8346)
8347tg (CPTG
8348uid 5783,0
8349ps "CptPortTextPlaceStrategy"
8350stg "VerticalLayoutStrategy"
8351f (Text
8352uid 5784,0
8353va (VaSet
8354)
8355xt "22000,104500,24800,105500"
8356st "dac_cs"
8357blo "22000,105300"
8358)
8359)
8360thePort (LogicalPort
8361m 1
8362decl (Decl
8363n "dac_cs"
8364t "std_logic"
8365o 6
8366suid 15,0
8367)
8368)
8369)
8370*264 (CptPort
8371uid 5785,0
8372ps "OnEdgeStrategy"
8373shape (Triangle
8374uid 5786,0
8375ro 270
8376va (VaSet
8377vasetType 1
8378fg "0,65535,0"
8379)
8380xt "20250,103625,21000,104375"
8381)
8382tg (CPTG
8383uid 5787,0
8384ps "CptPortTextPlaceStrategy"
8385stg "VerticalLayoutStrategy"
8386f (Text
8387uid 5788,0
8388va (VaSet
8389)
8390xt "22000,103500,28500,104500"
8391st "sensor_cs : (3:0)"
8392blo "22000,104300"
8393)
8394)
8395thePort (LogicalPort
8396m 1
8397decl (Decl
8398n "sensor_cs"
8399t "std_logic_vector"
8400b "(3 DOWNTO 0)"
8401o 10
8402suid 16,0
8403)
8404)
8405)
8406*265 (CptPort
8407uid 5789,0
8408ps "OnEdgeStrategy"
8409shape (Triangle
8410uid 5790,0
8411ro 90
8412va (VaSet
8413vasetType 1
8414fg "0,65535,0"
8415)
8416xt "20250,100625,21000,101375"
8417)
8418tg (CPTG
8419uid 5791,0
8420ps "CptPortTextPlaceStrategy"
8421stg "VerticalLayoutStrategy"
8422f (Text
8423uid 5792,0
8424va (VaSet
8425)
8426xt "22000,100500,26200,101500"
8427st "clk_50MHz"
8428blo "22000,101300"
8429)
8430)
8431thePort (LogicalPort
8432decl (Decl
8433n "clk_50MHz"
8434t "std_logic"
8435preAdd 0
8436posAdd 0
8437o 1
8438suid 17,0
8439)
8440)
8441)
8442*266 (CptPort
8443uid 5986,0
8444ps "OnEdgeStrategy"
8445shape (Triangle
8446uid 5987,0
8447ro 90
8448va (VaSet
8449vasetType 1
8450fg "0,65535,0"
8451)
8452xt "37000,105625,37750,106375"
8453)
8454tg (CPTG
8455uid 5988,0
8456ps "CptPortTextPlaceStrategy"
8457stg "RightVerticalLayoutStrategy"
8458f (Text
8459uid 5989,0
8460va (VaSet
8461)
8462xt "30400,105500,36000,106500"
8463st "config_started"
8464ju 2
8465blo "36000,106300"
8466)
8467)
8468thePort (LogicalPort
8469m 1
8470decl (Decl
8471n "config_started"
8472t "std_logic"
8473o 5
8474suid 18,0
8475i "'0'"
8476)
8477)
8478)
8479*267 (CptPort
8480uid 6154,0
8481ps "OnEdgeStrategy"
8482shape (Triangle
8483uid 6155,0
8484ro 270
8485va (VaSet
8486vasetType 1
8487fg "0,65535,0"
8488)
8489xt "20250,108625,21000,109375"
8490)
8491tg (CPTG
8492uid 6156,0
8493ps "CptPortTextPlaceStrategy"
8494stg "VerticalLayoutStrategy"
8495f (Text
8496uid 6157,0
8497va (VaSet
8498)
8499xt "22000,108500,24000,109500"
8500st "mosi"
8501blo "22000,109300"
8502)
8503)
8504thePort (LogicalPort
8505m 1
8506decl (Decl
8507n "mosi"
8508t "std_logic"
8509o 7
8510suid 19,0
8511i "'0'"
8512)
8513)
8514)
8515*268 (CptPort
8516uid 6317,0
8517ps "OnEdgeStrategy"
8518shape (Diamond
8519uid 6318,0
8520ro 270
8521va (VaSet
8522vasetType 1
8523fg "0,65535,0"
8524)
8525xt "20250,107625,21000,108375"
8526)
8527tg (CPTG
8528uid 6319,0
8529ps "CptPortTextPlaceStrategy"
8530stg "VerticalLayoutStrategy"
8531f (Text
8532uid 6320,0
8533va (VaSet
8534)
8535xt "22000,107500,24000,108500"
8536st "miso"
8537blo "22000,108300"
8538)
8539)
8540thePort (LogicalPort
8541m 2
8542decl (Decl
8543n "miso"
8544t "std_logic"
8545preAdd 0
8546posAdd 0
8547o 12
8548suid 20,0
8549)
8550)
8551)
8552]
8553shape (Rectangle
8554uid 5794,0
8555va (VaSet
8556vasetType 1
8557fg "0,65535,0"
8558lineColor "0,32896,0"
8559lineWidth 2
8560)
8561xt "21000,100000,37000,112000"
8562)
8563oxt "15000,12000,30000,26000"
8564ttg (MlTextGroup
8565uid 5795,0
8566ps "CenterOffsetStrategy"
8567stg "VerticalLayoutStrategy"
8568textVec [
8569*269 (Text
8570uid 5796,0
8571va (VaSet
8572font "Arial,8,1"
8573)
8574xt "21200,112000,27400,113000"
8575st "FACT_FAD_lib"
8576blo "21200,112800"
8577tm "BdLibraryNameMgr"
8578)
8579*270 (Text
8580uid 5797,0
8581va (VaSet
8582font "Arial,8,1"
8583)
8584xt "21200,113000,26700,114000"
8585st "spi_interface"
8586blo "21200,113800"
8587tm "CptNameMgr"
8588)
8589*271 (Text
8590uid 5798,0
8591va (VaSet
8592font "Arial,8,1"
8593)
8594xt "21200,114000,30000,115000"
8595st "I_main_SPI_interface"
8596blo "21200,114800"
8597tm "InstanceNameMgr"
8598)
8599]
8600)
8601ga (GenericAssociation
8602uid 5799,0
8603ps "EdgeToEdgeStrategy"
8604matrix (Matrix
8605uid 5800,0
8606text (MLText
8607uid 5801,0
8608va (VaSet
8609font "Courier New,8,0"
8610)
8611xt "6000,100000,6000,100000"
8612)
8613header ""
8614)
8615elements [
8616]
8617)
8618viewicon (ZoomableIcon
8619uid 5802,0
8620sl 0
8621va (VaSet
8622vasetType 1
8623fg "49152,49152,49152"
8624)
8625xt "21250,110250,22750,111750"
8626iconName "BlockDiagram.png"
8627iconMaskName "BlockDiagram.msk"
8628ftype 1
8629)
8630viewiconposition 0
8631portVis (PortSigDisplay
8632)
8633archFileType "UNKNOWN"
8634)
8635*272 (Net
8636uid 5811,0
8637decl (Decl
8638n "sclk"
8639t "std_logic"
8640o 23
8641suid 151,0
8642)
8643declText (MLText
8644uid 5812,0
8645va (VaSet
8646font "Courier New,8,0"
8647)
8648xt "-85000,35800,-66500,36600"
8649st "sclk : std_logic"
8650)
8651)
8652*273 (Net
8653uid 5819,0
8654decl (Decl
8655n "sio"
8656t "std_logic"
8657preAdd 0
8658posAdd 0
8659o 30
8660suid 152,0
8661)
8662declText (MLText
8663uid 5820,0
8664va (VaSet
8665font "Courier New,8,0"
8666)
8667xt "-85000,41400,-66500,42200"
8668st "sio : std_logic"
8669)
8670)
8671*274 (Net
8672uid 5827,0
8673decl (Decl
8674n "dac_cs"
8675t "std_logic"
8676o 17
8677suid 153,0
8678)
8679declText (MLText
8680uid 5828,0
8681va (VaSet
8682font "Courier New,8,0"
8683)
8684xt "-85000,31000,-66500,31800"
8685st "dac_cs : std_logic"
8686)
8687)
8688*275 (Net
8689uid 5835,0
8690decl (Decl
8691n "sensor_cs"
8692t "std_logic_vector"
8693b "(3 DOWNTO 0)"
8694o 24
8695suid 154,0
8696)
8697declText (MLText
8698uid 5836,0
8699va (VaSet
8700font "Courier New,8,0"
8701)
8702xt "-85000,36600,-56500,37400"
8703st "sensor_cs : std_logic_vector(3 DOWNTO 0)"
8704)
8705)
8706*276 (PortIoOut
8707uid 5843,0
8708shape (CompositeShape
8709uid 5844,0
8710va (VaSet
8711vasetType 1
8712fg "0,0,32768"
8713)
8714optionalChildren [
8715(Pentagon
8716uid 5845,0
8717sl 0
8718ro 90
8719xt "10000,106625,11500,107375"
8720)
8721(Line
8722uid 5846,0
8723sl 0
8724ro 90
8725xt "11500,107000,12000,107000"
8726pts [
8727"12000,107000"
8728"11500,107000"
8729]
8730)
8731]
8732)
8733stc 0
8734sf 1
8735tg (WTG
8736uid 5847,0
8737ps "PortIoTextPlaceStrategy"
8738stg "STSignalDisplayStrategy"
8739f (Text
8740uid 5848,0
8741va (VaSet
8742)
8743xt "7300,106500,9000,107500"
8744st "sclk"
8745ju 2
8746blo "9000,107300"
8747tm "WireNameMgr"
8748)
8749)
8750)
8751*277 (PortIoInOut
8752uid 5849,0
8753shape (CompositeShape
8754uid 5850,0
8755va (VaSet
8756vasetType 1
8757fg "0,0,32768"
8758)
8759optionalChildren [
8760(Hexagon
8761uid 5851,0
8762sl 0
8763ro 180
8764xt "10000,107625,11500,108375"
8765)
8766(Line
8767uid 5852,0
8768sl 0
8769ro 180
8770xt "11500,108000,12000,108000"
8771pts [
8772"12000,108000"
8773"11500,108000"
8774]
8775)
8776]
8777)
8778stc 0
8779sf 1
8780tg (WTG
8781uid 5853,0
8782ps "PortIoTextPlaceStrategy"
8783stg "STSignalDisplayStrategy"
8784f (Text
8785uid 5854,0
8786va (VaSet
8787)
8788xt "7600,107500,9000,108500"
8789st "sio"
8790ju 2
8791blo "9000,108300"
8792tm "WireNameMgr"
8793)
8794)
8795)
8796*278 (PortIoOut
8797uid 5855,0
8798shape (CompositeShape
8799uid 5856,0
8800va (VaSet
8801vasetType 1
8802fg "0,0,32768"
8803)
8804optionalChildren [
8805(Pentagon
8806uid 5857,0
8807sl 0
8808ro 90
8809xt "10000,104625,11500,105375"
8810)
8811(Line
8812uid 5858,0
8813sl 0
8814ro 90
8815xt "11500,105000,12000,105000"
8816pts [
8817"12000,105000"
8818"11500,105000"
8819]
8820)
8821]
8822)
8823stc 0
8824sf 1
8825tg (WTG
8826uid 5859,0
8827ps "PortIoTextPlaceStrategy"
8828stg "STSignalDisplayStrategy"
8829f (Text
8830uid 5860,0
8831va (VaSet
8832)
8833xt "6200,104500,9000,105500"
8834st "dac_cs"
8835ju 2
8836blo "9000,105300"
8837tm "WireNameMgr"
8838)
8839)
8840)
8841*279 (PortIoOut
8842uid 5861,0
8843shape (CompositeShape
8844uid 5862,0
8845va (VaSet
8846vasetType 1
8847fg "0,0,32768"
8848)
8849optionalChildren [
8850(Pentagon
8851uid 5863,0
8852sl 0
8853ro 90
8854xt "10000,103625,11500,104375"
8855)
8856(Line
8857uid 5864,0
8858sl 0
8859ro 90
8860xt "11500,104000,12000,104000"
8861pts [
8862"12000,104000"
8863"11500,104000"
8864]
8865)
8866]
8867)
8868stc 0
8869sf 1
8870tg (WTG
8871uid 5865,0
8872ps "PortIoTextPlaceStrategy"
8873stg "STSignalDisplayStrategy"
8874f (Text
8875uid 5866,0
8876va (VaSet
8877)
8878xt "5100,103500,9000,104500"
8879st "sensor_cs"
8880ju 2
8881blo "9000,104300"
8882tm "WireNameMgr"
8883)
8884)
8885)
8886*280 (Net
8887uid 5948,0
8888decl (Decl
8889n "new_config"
8890t "std_logic"
8891o 60
8892suid 155,0
8893i "'0'"
8894)
8895declText (MLText
8896uid 5949,0
8897va (VaSet
8898font "Courier New,8,0"
8899)
8900xt "-85000,67400,-41500,68200"
8901st "SIGNAL new_config : std_logic := '0'"
8902)
8903)
8904*281 (Net
8905uid 5960,0
8906decl (Decl
8907n "config_started"
8908t "std_logic"
8909o 47
8910suid 156,0
8911)
8912declText (MLText
8913uid 5961,0
8914va (VaSet
8915font "Courier New,8,0"
8916)
8917xt "-85000,57000,-62500,57800"
8918st "SIGNAL config_started : std_logic"
8919)
8920)
8921*282 (Net
8922uid 6012,0
8923decl (Decl
8924n "config_started_spi"
8925t "std_logic"
8926o 50
8927suid 159,0
8928i "'0'"
8929)
8930declText (MLText
8931uid 6013,0
8932va (VaSet
8933font "Courier New,8,0"
8934)
8935xt "-85000,59400,-41500,60200"
8936st "SIGNAL config_started_spi : std_logic := '0'"
8937)
8938)
8939*283 (Net
8940uid 6014,0
8941decl (Decl
8942n "config_started_cu"
8943t "std_logic"
8944o 48
8945suid 160,0
8946i "'0'"
8947)
8948declText (MLText
8949uid 6015,0
8950va (VaSet
8951font "Courier New,8,0"
8952)
8953xt "-85000,57800,-41500,58600"
8954st "SIGNAL config_started_cu : std_logic := '0'"
8955)
8956)
8957*284 (Net
8958uid 6016,0
8959decl (Decl
8960n "config_started_mm"
8961t "std_logic"
8962o 49
8963suid 161,0
8964)
8965declText (MLText
8966uid 6017,0
8967va (VaSet
8968font "Courier New,8,0"
8969)
8970xt "-85000,58600,-62500,59400"
8971st "SIGNAL config_started_mm : std_logic"
8972)
8973)
8974*285 (Net
8975uid 6158,0
8976decl (Decl
8977n "mosi"
8978t "std_logic"
8979o 22
8980suid 162,0
8981i "'0'"
8982)
8983declText (MLText
8984uid 6159,0
8985va (VaSet
8986font "Courier New,8,0"
8987)
8988xt "-85000,35000,-45000,35800"
8989st "mosi : std_logic := '0'"
8990)
8991)
8992*286 (PortIoOut
8993uid 6166,0
8994shape (CompositeShape
8995uid 6167,0
8996va (VaSet
8997vasetType 1
8998fg "0,0,32768"
8999)
9000optionalChildren [
9001(Pentagon
9002uid 6168,0
9003sl 0
9004ro 90
9005xt "10000,108625,11500,109375"
9006)
9007(Line
9008uid 6169,0
9009sl 0
9010ro 90
9011xt "11500,109000,12000,109000"
9012pts [
9013"12000,109000"
9014"11500,109000"
9015]
9016)
9017]
9018)
9019stc 0
9020sf 1
9021tg (WTG
9022uid 6170,0
9023ps "PortIoTextPlaceStrategy"
9024stg "STSignalDisplayStrategy"
9025f (Text
9026uid 6171,0
9027va (VaSet
9028)
9029xt "7000,108500,9000,109500"
9030st "mosi"
9031ju 2
9032blo "9000,109300"
9033tm "WireNameMgr"
9034)
9035)
9036)
9037*287 (Net
9038uid 6360,0
9039decl (Decl
9040n "denable"
9041t "std_logic"
9042eolc "-- default domino wave off"
9043posAdd 0
9044o 18
9045suid 166,0
9046i "'0'"
9047)
9048declText (MLText
9049uid 6361,0
9050va (VaSet
9051font "Courier New,8,0"
9052)
9053xt "-85000,31800,-31500,32600"
9054st "denable : std_logic := '0' -- default domino wave off"
9055)
9056)
9057*288 (PortIoOut
9058uid 6368,0
9059shape (CompositeShape
9060uid 6369,0
9061va (VaSet
9062vasetType 1
9063fg "0,0,32768"
9064)
9065optionalChildren [
9066(Pentagon
9067uid 6370,0
9068sl 0
9069ro 270
9070xt "153500,74625,155000,75375"
9071)
9072(Line
9073uid 6371,0
9074sl 0
9075ro 270
9076xt "153000,75000,153500,75000"
9077pts [
9078"153000,75000"
9079"153500,75000"
9080]
9081)
9082]
9083)
9084stc 0
9085sf 1
9086tg (WTG
9087uid 6372,0
9088ps "PortIoTextPlaceStrategy"
9089stg "STSignalDisplayStrategy"
9090f (Text
9091uid 6373,0
9092va (VaSet
9093)
9094xt "156000,74500,159000,75500"
9095st "denable"
9096blo "156000,75300"
9097tm "WireNameMgr"
9098)
9099)
9100)
9101*289 (Net
9102uid 6450,0
9103decl (Decl
9104n "dwrite_enable"
9105t "std_logic"
9106o 59
9107suid 167,0
9108i "'1'"
9109)
9110declText (MLText
9111uid 6451,0
9112va (VaSet
9113font "Courier New,8,0"
9114)
9115xt "-85000,66600,-41500,67400"
9116st "SIGNAL dwrite_enable : std_logic := '1'"
9117)
9118)
9119*290 (MWC
9120uid 6529,0
9121optionalChildren [
9122*291 (CptPort
9123uid 6501,0
9124optionalChildren [
9125*292 (Line
9126uid 6505,0
9127layer 5
9128sl 0
9129va (VaSet
9130vasetType 3
9131)
9132xt "1000,60000,2000,60000"
9133pts [
9134"1000,60000"
9135"2000,60000"
9136]
9137)
9138*293 (Property
9139uid 6506,0
9140pclass "_MW_GEOM_"
9141pname "fixed"
9142ptn "String"
9143)
9144]
9145ps "OnEdgeStrategy"
9146shape (Triangle
9147uid 6502,0
9148ro 270
9149va (VaSet
9150vasetType 1
9151isHidden 1
9152fg "0,65535,65535"
9153)
9154xt "250,59625,1000,60375"
9155)
9156tg (CPTG
9157uid 6503,0
9158ps "CptPortTextPlaceStrategy"
9159stg "VerticalLayoutStrategy"
9160f (Text
9161uid 6504,0
9162sl 0
9163va (VaSet
9164isHidden 1
9165font "arial,8,0"
9166)
9167xt "21669,299342,23469,300342"
9168st "dout"
9169blo "21669,300142"
9170)
9171)
9172thePort (LogicalPort
9173m 1
9174decl (Decl
9175n "dout"
9176t "std_logic"
9177o 20
9178suid 1,0
9179i "'1'"
9180)
9181)
9182)
9183*294 (CptPort
9184uid 6507,0
9185optionalChildren [
9186*295 (Line
9187uid 6511,0
9188layer 5
9189sl 0
9190va (VaSet
9191vasetType 3
9192)
9193xt "6000,59000,7000,59000"
9194pts [
9195"7000,59000"
9196"6000,59000"
9197]
9198)
9199]
9200ps "OnEdgeStrategy"
9201shape (Triangle
9202uid 6508,0
9203ro 270
9204va (VaSet
9205vasetType 1
9206isHidden 1
9207fg "0,65535,65535"
9208)
9209xt "7000,58625,7750,59375"
9210)
9211tg (CPTG
9212uid 6509,0
9213ps "CptPortTextPlaceStrategy"
9214stg "RightVerticalLayoutStrategy"
9215f (Text
9216uid 6510,0
9217sl 0
9218va (VaSet
9219isHidden 1
9220font "arial,8,0"
9221)
9222xt "24635,298294,26435,299294"
9223st "din0"
9224ju 2
9225blo "26435,299094"
9226)
9227)
9228thePort (LogicalPort
9229decl (Decl
9230n "din0"
9231t "std_logic"
9232o 58
9233suid 2,0
9234i "'1'"
9235)
9236)
9237)
9238*296 (CptPort
9239uid 6512,0
9240optionalChildren [
9241*297 (Line
9242uid 6516,0
9243layer 5
9244sl 0
9245va (VaSet
9246vasetType 3
9247)
9248xt "6000,61000,7000,61000"
9249pts [
9250"7000,61000"
9251"6000,61000"
9252]
9253)
9254]
9255ps "OnEdgeStrategy"
9256shape (Triangle
9257uid 6513,0
9258ro 270
9259va (VaSet
9260vasetType 1
9261isHidden 1
9262fg "0,65535,65535"
9263)
9264xt "7000,60625,7750,61375"
9265)
9266tg (CPTG
9267uid 6514,0
9268ps "CptPortTextPlaceStrategy"
9269stg "RightVerticalLayoutStrategy"
9270f (Text
9271uid 6515,0
9272sl 0
9273va (VaSet
9274isHidden 1
9275font "arial,8,0"
9276)
9277xt "24750,300700,26550,301700"
9278st "din1"
9279ju 2
9280blo "26550,301500"
9281)
9282)
9283thePort (LogicalPort
9284decl (Decl
9285n "din1"
9286t "std_logic"
9287o 59
9288suid 3,0
9289i "'1'"
9290)
9291)
9292)
9293*298 (CommentGraphic
9294uid 6517,0
9295optionalChildren [
9296*299 (Property
9297uid 6519,0
9298pclass "_MW_GEOM_"
9299pname "expand"
9300ptn "String"
9301)
9302]
9303shape (PolyLine2D
9304pts [
9305"6000,62000"
9306"6000,62000"
9307]
9308uid 6518,0
9309layer 0
9310sl 0
9311va (VaSet
9312vasetType 1
9313transparent 1
9314fg "49152,49152,49152"
9315)
9316xt "6000,62000,6000,62000"
9317)
9318oxt "11000,10000,11000,10000"
9319)
9320*300 (CommentGraphic
9321uid 6520,0
9322optionalChildren [
9323*301 (Property
9324uid 6522,0
9325pclass "_MW_GEOM_"
9326pname "expand"
9327ptn "String"
9328)
9329]
9330shape (PolyLine2D
9331pts [
9332"6000,58000"
9333"6000,58000"
9334]
9335uid 6521,0
9336layer 0
9337sl 0
9338va (VaSet
9339vasetType 1
9340transparent 1
9341fg "49152,49152,49152"
9342)
9343xt "6000,58000,6000,58000"
9344)
9345oxt "11000,6000,11000,6000"
9346)
9347*302 (Grouping
9348uid 6523,0
9349optionalChildren [
9350*303 (CommentGraphic
9351uid 6525,0
9352shape (PolyLine2D
9353pts [
9354"4000,58000"
9355"6000,58000"
9356"6000,62000"
9357"4000,62000"
9358]
9359uid 6526,0
9360layer 0
9361sl 0
9362va (VaSet
9363vasetType 1
9364fg "0,65535,65535"
9365lineColor "26368,26368,26368"
9366)
9367xt "4000,58000,6000,62000"
9368)
9369oxt "9000,6000,11000,10000"
9370)
9371*304 (CommentGraphic
9372uid 6527,0
9373shape (Arc2D
9374pts [
9375"4000,62000"
9376"2000,60000"
9377"4000,58000"
9378]
9379uid 6528,0
9380layer 0
9381sl 0
9382va (VaSet
9383vasetType 1
9384fg "0,65535,65535"
9385lineColor "26368,26368,26368"
9386)
9387xt "2000,58000,4000,62000"
9388)
9389oxt "7000,6000,9000,10000"
9390)
9391]
9392shape (GroupingShape
9393uid 6524,0
9394sl 0
9395va (VaSet
9396vasetType 1
9397fg "65535,65535,65535"
9398lineStyle 2
9399lineWidth 2
9400)
9401xt "2000,58000,6000,62000"
9402)
9403oxt "7000,6000,11000,10000"
9404)
9405]
9406shape (Rectangle
9407uid 6530,0
9408va (VaSet
9409vasetType 1
9410transparent 1
9411fg "65535,65535,65535"
9412lineWidth -1
9413)
9414xt "1000,58000,7000,62000"
9415fos 1
9416)
9417showPorts 0
9418oxt "6000,6000,12000,10000"
9419ttg (MlTextGroup
9420uid 6531,0
9421ps "CenterOffsetStrategy"
9422stg "VerticalLayoutStrategy"
9423textVec [
9424*305 (Text
9425uid 6532,0
9426va (VaSet
9427isHidden 1
9428font "arial,8,0"
9429)
9430xt "3500,58500,8300,59500"
9431st "moduleware"
9432blo "3500,59300"
9433)
9434*306 (Text
9435uid 6533,0
9436va (VaSet
9437font "arial,8,0"
9438)
9439xt "3500,59500,5100,60500"
9440st "and"
9441blo "3500,60300"
9442)
9443*307 (Text
9444uid 6534,0
9445va (VaSet
9446font "arial,8,0"
9447)
9448xt "3500,60500,4500,61500"
9449st "I5"
9450blo "3500,61300"
9451tm "InstanceNameMgr"
9452)
9453]
9454)
9455ga (GenericAssociation
9456uid 6535,0
9457ps "EdgeToEdgeStrategy"
9458matrix (Matrix
9459uid 6536,0
9460text (MLText
9461uid 6537,0
9462va (VaSet
9463font "arial,8,0"
9464)
9465xt "-14000,49000,-14000,49000"
9466)
9467header ""
9468)
9469elements [
9470]
9471)
9472sed 1
9473awe 1
9474portVis (PortSigDisplay
9475sN 0
9476sTC 0
9477selT 0
9478)
9479prms (Property
9480pclass "params"
9481pname "params"
9482ptn "String"
9483)
9484de 1
9485visOptions (mwParamsVisibilityOptions
9486)
9487)
9488*308 (Net
9489uid 6544,0
9490decl (Decl
9491n "dwrite"
9492t "std_logic"
9493o 58
9494suid 169,0
9495i "'1'"
9496)
9497declText (MLText
9498uid 6545,0
9499va (VaSet
9500font "Courier New,8,0"
9501)
9502xt "-85000,65800,-41500,66600"
9503st "SIGNAL dwrite : std_logic := '1'"
9504)
9505)
9506*309 (SaComponent
9507uid 8277,0
9508optionalChildren [
9509*310 (CptPort
9510uid 8246,0
9511ps "OnEdgeStrategy"
9512shape (Triangle
9513uid 8247,0
9514ro 90
9515va (VaSet
9516vasetType 1
9517fg "0,65535,0"
9518)
9519xt "76250,43625,77000,44375"
9520)
9521tg (CPTG
9522uid 8248,0
9523ps "CptPortTextPlaceStrategy"
9524stg "VerticalLayoutStrategy"
9525f (Text
9526uid 8249,0
9527va (VaSet
9528font "arial,8,0"
9529)
9530xt "78000,43500,79700,44500"
9531st "clka"
9532blo "78000,44300"
9533)
9534)
9535thePort (LogicalPort
9536decl (Decl
9537n "clka"
9538t "std_logic"
9539preAdd 0
9540posAdd 0
9541o 1
9542suid 1,0
9543)
9544)
9545)
9546*311 (CptPort
9547uid 8250,0
9548ps "OnEdgeStrategy"
9549shape (Triangle
9550uid 8251,0
9551ro 90
9552va (VaSet
9553vasetType 1
9554fg "0,65535,0"
9555)
9556xt "76250,49625,77000,50375"
9557)
9558tg (CPTG
9559uid 8252,0
9560ps "CptPortTextPlaceStrategy"
9561stg "VerticalLayoutStrategy"
9562f (Text
9563uid 8253,0
9564va (VaSet
9565font "arial,8,0"
9566)
9567xt "78000,49500,82800,50500"
9568st "dina : (63:0)"
9569blo "78000,50300"
9570)
9571)
9572thePort (LogicalPort
9573decl (Decl
9574n "dina"
9575t "std_logic_VECTOR"
9576b "(63 downto 0)"
9577preAdd 0
9578posAdd 0
9579o 2
9580suid 2,0
9581)
9582)
9583)
9584*312 (CptPort
9585uid 8254,0
9586ps "OnEdgeStrategy"
9587shape (Triangle
9588uid 8255,0
9589ro 90
9590va (VaSet
9591vasetType 1
9592fg "0,65535,0"
9593)
9594xt "76250,48625,77000,49375"
9595)
9596tg (CPTG
9597uid 8256,0
9598ps "CptPortTextPlaceStrategy"
9599stg "VerticalLayoutStrategy"
9600f (Text
9601uid 8257,0
9602va (VaSet
9603font "arial,8,0"
9604)
9605xt "78000,48500,83300,49500"
9606st "addra : (14:0)"
9607blo "78000,49300"
9608)
9609)
9610thePort (LogicalPort
9611decl (Decl
9612n "addra"
9613t "std_logic_VECTOR"
9614b "(14 downto 0)"
9615preAdd 0
9616posAdd 0
9617o 3
9618suid 3,0
9619)
9620)
9621)
9622*313 (CptPort
9623uid 8258,0
9624ps "OnEdgeStrategy"
9625shape (Triangle
9626uid 8259,0
9627ro 90
9628va (VaSet
9629vasetType 1
9630fg "0,65535,0"
9631)
9632xt "76250,47625,77000,48375"
9633)
9634tg (CPTG
9635uid 8260,0
9636ps "CptPortTextPlaceStrategy"
9637stg "VerticalLayoutStrategy"
9638f (Text
9639uid 8261,0
9640va (VaSet
9641font "arial,8,0"
9642)
9643xt "78000,47500,82300,48500"
9644st "wea : (0:0)"
9645blo "78000,48300"
9646)
9647)
9648thePort (LogicalPort
9649decl (Decl
9650n "wea"
9651t "std_logic_VECTOR"
9652b "(0 downto 0)"
9653preAdd 0
9654posAdd 0
9655o 4
9656suid 4,0
9657)
9658)
9659)
9660*314 (CptPort
9661uid 8262,0
9662ps "OnEdgeStrategy"
9663shape (Triangle
9664uid 8263,0
9665ro 270
9666va (VaSet
9667vasetType 1
9668fg "0,65535,0"
9669)
9670xt "91000,43625,91750,44375"
9671)
9672tg (CPTG
9673uid 8264,0
9674ps "CptPortTextPlaceStrategy"
9675stg "RightVerticalLayoutStrategy"
9676f (Text
9677uid 8265,0
9678va (VaSet
9679font "arial,8,0"
9680)
9681xt "88300,43500,90000,44500"
9682st "clkb"
9683ju 2
9684blo "90000,44300"
9685)
9686)
9687thePort (LogicalPort
9688decl (Decl
9689n "clkb"
9690t "std_logic"
9691preAdd 0
9692posAdd 0
9693o 5
9694suid 5,0
9695)
9696)
9697)
9698*315 (CptPort
9699uid 8266,0
9700ps "OnEdgeStrategy"
9701shape (Triangle
9702uid 8267,0
9703ro 270
9704va (VaSet
9705vasetType 1
9706fg "0,65535,0"
9707)
9708xt "91000,48625,91750,49375"
9709)
9710tg (CPTG
9711uid 8268,0
9712ps "CptPortTextPlaceStrategy"
9713stg "RightVerticalLayoutStrategy"
9714f (Text
9715uid 8269,0
9716va (VaSet
9717font "arial,8,0"
9718)
9719xt "84700,48500,90000,49500"
9720st "addrb : (16:0)"
9721ju 2
9722blo "90000,49300"
9723)
9724)
9725thePort (LogicalPort
9726decl (Decl
9727n "addrb"
9728t "std_logic_VECTOR"
9729b "(16 downto 0)"
9730preAdd 0
9731posAdd 0
9732o 6
9733suid 6,0
9734)
9735)
9736)
9737*316 (CptPort
9738uid 8270,0
9739ps "OnEdgeStrategy"
9740shape (Triangle
9741uid 8271,0
9742ro 90
9743va (VaSet
9744vasetType 1
9745fg "0,65535,0"
9746)
9747xt "91000,49625,91750,50375"
9748)
9749tg (CPTG
9750uid 8272,0
9751ps "CptPortTextPlaceStrategy"
9752stg "RightVerticalLayoutStrategy"
9753f (Text
9754uid 8273,0
9755va (VaSet
9756font "arial,8,0"
9757)
9758xt "84800,49500,90000,50500"
9759st "doutb : (15:0)"
9760ju 2
9761blo "90000,50300"
9762)
9763)
9764thePort (LogicalPort
9765m 1
9766decl (Decl
9767n "doutb"
9768t "std_logic_VECTOR"
9769b "(15 downto 0)"
9770preAdd 0
9771posAdd 0
9772o 7
9773suid 7,0
9774)
9775)
9776)
9777]
9778shape (Rectangle
9779uid 8278,0
9780va (VaSet
9781vasetType 1
9782fg "0,65535,0"
9783lineColor "0,32896,0"
9784lineWidth 2
9785)
9786xt "77000,42000,91000,52000"
9787)
9788oxt "30000,7000,40000,21000"
9789ttg (MlTextGroup
9790uid 8279,0
9791ps "CenterOffsetStrategy"
9792stg "VerticalLayoutStrategy"
9793textVec [
9794*317 (Text
9795uid 8280,0
9796va (VaSet
9797font "arial,8,1"
9798)
9799xt "77200,52000,83400,53000"
9800st "FACT_FAD_lib"
9801blo "77200,52800"
9802tm "BdLibraryNameMgr"
9803)
9804*318 (Text
9805uid 8281,0
9806va (VaSet
9807font "arial,8,1"
9808)
9809xt "77200,53000,89200,54000"
9810st "dataRAM_64b_16b_width14_5"
9811blo "77200,53800"
9812tm "CptNameMgr"
9813)
9814*319 (Text
9815uid 8282,0
9816va (VaSet
9817font "arial,8,1"
9818)
9819xt "77200,54000,79000,55000"
9820st "U_4"
9821blo "77200,54800"
9822tm "InstanceNameMgr"
9823)
9824]
9825)
9826ga (GenericAssociation
9827uid 8283,0
9828ps "EdgeToEdgeStrategy"
9829matrix (Matrix
9830uid 8284,0
9831text (MLText
9832uid 8285,0
9833va (VaSet
9834font "Courier New,8,0"
9835)
9836xt "76500,41000,76500,41000"
9837)
9838header ""
9839)
9840elements [
9841]
9842)
9843viewicon (ZoomableIcon
9844uid 8286,0
9845sl 0
9846va (VaSet
9847vasetType 1
9848fg "49152,49152,49152"
9849)
9850xt "77250,50250,78750,51750"
9851iconName "VhdlFileViewIcon.png"
9852iconMaskName "VhdlFileViewIcon.msk"
9853ftype 10
9854)
9855ordering 1
9856viewiconposition 0
9857portVis (PortSigDisplay
9858sIVOD 1
9859)
9860archFileType "UNKNOWN"
9861)
9862*320 (Net
9863uid 8414,0
9864lang 2
9865decl (Decl
9866n "wiz_ack"
9867t "std_logic"
9868o 81
9869suid 183,0
9870)
9871declText (MLText
9872uid 8415,0
9873va (VaSet
9874font "Courier New,8,0"
9875)
9876xt "-85000,77800,-62500,78600"
9877st "SIGNAL wiz_ack : std_logic"
9878)
9879)
9880*321 (Wire
9881uid 322,0
9882shape (OrthoPolyLine
9883uid 323,0
9884va (VaSet
9885vasetType 3
9886lineWidth 2
9887)
9888xt "40750,48000,76250,48000"
9889pts [
9890"40750,48000"
9891"55000,48000"
9892"76250,48000"
9893]
9894)
9895start &26
9896end &313
9897sat 32
9898eat 32
9899sty 1
9900st 0
9901sf 1
9902si 0
9903tg (WTG
9904uid 324,0
9905ps "ConnStartEndStrategy"
9906stg "STSignalDisplayStrategy"
9907f (Text
9908uid 325,0
9909va (VaSet
9910)
9911xt "42000,47000,47800,48000"
9912st "write_ea : (0:0)"
9913blo "42000,47800"
9914tm "WireNameMgr"
9915)
9916)
9917on &2
9918)
9919*322 (Wire
9920uid 328,0
9921shape (OrthoPolyLine
9922uid 329,0
9923va (VaSet
9924vasetType 3
9925lineWidth 2
9926)
9927xt "40750,49000,76250,49000"
9928pts [
9929"40750,49000"
9930"55000,49000"
9931"76250,49000"
9932]
9933)
9934start &25
9935end &312
9936sat 32
9937eat 32
9938sty 1
9939st 0
9940sf 1
9941si 0
9942tg (WTG
9943uid 330,0
9944ps "ConnStartEndStrategy"
9945stg "STSignalDisplayStrategy"
9946f (Text
9947uid 331,0
9948va (VaSet
9949)
9950xt "42000,48000,56500,49000"
9951st "addr_out : (RAMADDRWIDTH64b-1:0)"
9952blo "42000,48800"
9953tm "WireNameMgr"
9954)
9955)
9956on &3
9957)
9958*323 (Wire
9959uid 334,0
9960shape (OrthoPolyLine
9961uid 335,0
9962va (VaSet
9963vasetType 3
9964lineWidth 2
9965)
9966xt "40750,50000,76250,50000"
9967pts [
9968"40750,50000"
9969"55000,50000"
9970"76250,50000"
9971]
9972)
9973start &24
9974end &311
9975sat 32
9976eat 32
9977sty 1
9978st 0
9979sf 1
9980si 0
9981tg (WTG
9982uid 336,0
9983ps "ConnStartEndStrategy"
9984stg "STSignalDisplayStrategy"
9985f (Text
9986uid 337,0
9987va (VaSet
9988)
9989xt "42000,49000,48200,50000"
9990st "data_out : (63:0)"
9991blo "42000,49800"
9992tm "WireNameMgr"
9993)
9994)
9995on &4
9996)
9997*324 (Wire
9998uid 364,0
9999shape (OrthoPolyLine
10000uid 365,0
10001va (VaSet
10002vasetType 3
10003lineWidth 2
10004)
10005xt "91750,49000,126250,54000"
10006pts [
10007"126250,54000"
10008"113000,54000"
10009"113000,49000"
10010"91750,49000"
10011]
10012)
10013start &79
10014end &315
10015sat 32
10016eat 32
10017sty 1
10018st 0
10019sf 1
10020si 0
10021tg (WTG
10022uid 366,0
10023ps "ConnStartEndStrategy"
10024stg "STSignalDisplayStrategy"
10025f (Text
10026uid 367,0
10027va (VaSet
10028)
10029xt "94000,48000,109000,49000"
10030st "ram_addr : (RAMADDRWIDTH64b+1:0)"
10031blo "94000,48800"
10032tm "WireNameMgr"
10033)
10034)
10035on &5
10036)
10037*325 (Wire
10038uid 370,0
10039shape (OrthoPolyLine
10040uid 371,0
10041va (VaSet
10042vasetType 3
10043lineWidth 2
10044)
10045xt "91750,50000,126250,55000"
10046pts [
10047"126250,55000"
10048"112000,55000"
10049"112000,50000"
10050"91750,50000"
10051]
10052)
10053start &78
10054end &316
10055sat 32
10056eat 32
10057sty 1
10058st 0
10059sf 1
10060si 0
10061tg (WTG
10062uid 372,0
10063ps "ConnStartEndStrategy"
10064stg "STSignalDisplayStrategy"
10065f (Text
10066uid 373,0
10067va (VaSet
10068)
10069xt "95000,50000,101500,51000"
10070st "ram_data : (15:0)"
10071blo "95000,50800"
10072tm "WireNameMgr"
10073)
10074)
10075on &6
10076)
10077*326 (Wire
10078uid 376,0
10079shape (OrthoPolyLine
10080uid 377,0
10081va (VaSet
10082vasetType 3
10083)
10084xt "148750,52000,153000,52000"
10085pts [
10086"148750,52000"
10087"153000,52000"
10088]
10089)
10090start &69
10091end &14
10092sat 32
10093eat 32
10094stc 0
10095st 0
10096sf 1
10097si 0
10098tg (WTG
10099uid 380,0
10100ps "ConnStartEndStrategy"
10101stg "STSignalDisplayStrategy"
10102f (Text
10103uid 381,0
10104va (VaSet
10105isHidden 1
10106)
10107xt "150000,51000,153600,52000"
10108st "wiz_reset"
10109blo "150000,51800"
10110tm "WireNameMgr"
10111)
10112)
10113on &7
10114)
10115*327 (Wire
10116uid 384,0
10117shape (OrthoPolyLine
10118uid 385,0
10119va (VaSet
10120vasetType 3
10121lineWidth 2
10122)
10123xt "148750,60000,153000,60000"
10124pts [
10125"148750,60000"
10126"153000,60000"
10127]
10128)
10129start &70
10130end &15
10131sat 32
10132eat 32
10133sty 1
10134stc 0
10135st 0
10136sf 1
10137si 0
10138tg (WTG
10139uid 388,0
10140ps "ConnStartEndStrategy"
10141stg "STSignalDisplayStrategy"
10142f (Text
10143uid 389,0
10144va (VaSet
10145isHidden 1
10146)
10147xt "150000,59000,153400,60000"
10148st "wiz_addr"
10149blo "150000,59800"
10150tm "WireNameMgr"
10151)
10152)
10153on &8
10154)
10155*328 (Wire
10156uid 392,0
10157shape (OrthoPolyLine
10158uid 393,0
10159va (VaSet
10160vasetType 3
10161lineWidth 2
10162)
10163xt "148750,61000,153000,61000"
10164pts [
10165"148750,61000"
10166"153000,61000"
10167]
10168)
10169start &71
10170end &16
10171sat 32
10172eat 32
10173sty 1
10174stc 0
10175st 0
10176sf 1
10177si 0
10178tg (WTG
10179uid 396,0
10180ps "ConnStartEndStrategy"
10181stg "STSignalDisplayStrategy"
10182f (Text
10183uid 397,0
10184va (VaSet
10185isHidden 1
10186)
10187xt "150000,60000,153300,61000"
10188st "wiz_data"
10189blo "150000,60800"
10190tm "WireNameMgr"
10191)
10192)
10193on &9
10194)
10195*329 (Wire
10196uid 400,0
10197shape (OrthoPolyLine
10198uid 401,0
10199va (VaSet
10200vasetType 3
10201)
10202xt "148750,53000,153000,53000"
10203pts [
10204"148750,53000"
10205"153000,53000"
10206]
10207)
10208start &72
10209end &17
10210sat 32
10211eat 32
10212stc 0
10213st 0
10214sf 1
10215si 0
10216tg (WTG
10217uid 404,0
10218ps "ConnStartEndStrategy"
10219stg "STSignalDisplayStrategy"
10220f (Text
10221uid 405,0
10222va (VaSet
10223isHidden 1
10224)
10225xt "150000,52000,152700,53000"
10226st "wiz_cs"
10227blo "150000,52800"
10228tm "WireNameMgr"
10229)
10230)
10231on &10
10232)
10233*330 (Wire
10234uid 408,0
10235shape (OrthoPolyLine
10236uid 409,0
10237va (VaSet
10238vasetType 3
10239)
10240xt "148750,54000,153000,54000"
10241pts [
10242"148750,54000"
10243"153000,54000"
10244]
10245)
10246start &73
10247end &18
10248sat 32
10249eat 32
10250stc 0
10251st 0
10252sf 1
10253si 0
10254tg (WTG
10255uid 412,0
10256ps "ConnStartEndStrategy"
10257stg "STSignalDisplayStrategy"
10258f (Text
10259uid 413,0
10260va (VaSet
10261isHidden 1
10262)
10263xt "150000,53000,152700,54000"
10264st "wiz_wr"
10265blo "150000,53800"
10266tm "WireNameMgr"
10267)
10268)
10269on &11
10270)
10271*331 (Wire
10272uid 424,0
10273shape (OrthoPolyLine
10274uid 425,0
10275va (VaSet
10276vasetType 3
10277)
10278xt "148750,55000,153000,55000"
10279pts [
10280"148750,55000"
10281"153000,55000"
10282]
10283)
10284start &74
10285end &20
10286sat 32
10287eat 32
10288stc 0
10289st 0
10290sf 1
10291si 0
10292tg (WTG
10293uid 428,0
10294ps "ConnStartEndStrategy"
10295stg "STSignalDisplayStrategy"
10296f (Text
10297uid 429,0
10298va (VaSet
10299isHidden 1
10300)
10301xt "150000,54000,152600,55000"
10302st "wiz_rd"
10303blo "150000,54800"
10304tm "WireNameMgr"
10305)
10306)
10307on &12
10308)
10309*332 (Wire
10310uid 432,0
10311shape (OrthoPolyLine
10312uid 433,0
10313va (VaSet
10314vasetType 3
10315)
10316xt "148750,56000,153000,56000"
10317pts [
10318"153000,56000"
10319"148750,56000"
10320]
10321)
10322start &21
10323end &75
10324sat 32
10325eat 32
10326stc 0
10327st 0
10328sf 1
10329si 0
10330tg (WTG
10331uid 436,0
10332ps "ConnStartEndStrategy"
10333stg "STSignalDisplayStrategy"
10334f (Text
10335uid 437,0
10336va (VaSet
10337isHidden 1
10338)
10339xt "150000,55000,152700,56000"
10340st "wiz_int"
10341blo "150000,55800"
10342tm "WireNameMgr"
10343)
10344)
10345on &13
10346)
10347*333 (Wire
10348uid 1411,0
10349shape (OrthoPolyLine
10350uid 1412,0
10351va (VaSet
10352vasetType 3
10353lineWidth 2
10354)
10355xt "-26000,86000,18250,86000"
10356pts [
10357"-26000,86000"
10358"18250,86000"
10359]
10360)
10361start &149
10362end &28
10363sat 32
10364eat 32
10365sty 1
10366stc 0
10367st 0
10368sf 1
10369si 0
10370tg (WTG
10371uid 1415,0
10372ps "ConnStartEndStrategy"
10373stg "STSignalDisplayStrategy"
10374f (Text
10375uid 1416,0
10376va (VaSet
10377)
10378xt "-24000,85000,-20700,86000"
10379st "board_id"
10380blo "-24000,85800"
10381tm "WireNameMgr"
10382)
10383)
10384on &64
10385)
10386*334 (Wire
10387uid 1425,0
10388optionalChildren [
10389*335 (BdJunction
10390uid 4391,0
10391ps "OnConnectorStrategy"
10392shape (Circle
10393uid 4392,0
10394va (VaSet
10395vasetType 1
10396)
10397xt "-22400,68600,-21600,69400"
10398radius 400
10399)
10400)
10401]
10402shape (OrthoPolyLine
10403uid 1426,0
10404va (VaSet
10405vasetType 3
10406)
10407xt "-26000,69000,18250,69000"
10408pts [
10409"-26000,69000"
10410"18250,69000"
10411]
10412)
10413start &66
10414end &30
10415es 0
10416sat 32
10417eat 32
10418stc 0
10419st 0
10420sf 1
10421si 0
10422tg (WTG
10423uid 1429,0
10424ps "ConnStartEndStrategy"
10425stg "STSignalDisplayStrategy"
10426f (Text
10427uid 1430,0
10428va (VaSet
10429isHidden 1
10430)
10431xt "5000,63000,7800,64000"
10432st "trigger"
10433blo "5000,63800"
10434tm "WireNameMgr"
10435)
10436)
10437on &65
10438)
10439*336 (Wire
10440uid 1682,0
10441shape (OrthoPolyLine
10442uid 1683,0
10443va (VaSet
10444vasetType 3
10445lineWidth 2
10446)
10447xt "-26000,87000,18250,87000"
10448pts [
10449"-26000,87000"
10450"18250,87000"
10451]
10452)
10453start &150
10454end &31
10455sat 32
10456eat 32
10457sty 1
10458stc 0
10459st 0
10460sf 1
10461si 0
10462tg (WTG
10463uid 1686,0
10464ps "ConnStartEndStrategy"
10465stg "STSignalDisplayStrategy"
10466f (Text
10467uid 1687,0
10468va (VaSet
10469)
10470xt "-24000,86000,-20900,87000"
10471st "crate_id"
10472blo "-24000,86800"
10473tm "WireNameMgr"
10474)
10475)
10476on &100
10477)
10478*337 (Wire
10479uid 1983,0
10480shape (OrthoPolyLine
10481uid 1984,0
10482va (VaSet
10483vasetType 3
10484lineWidth 2
10485)
10486xt "-6250,64000,18250,68000"
10487pts [
10488"-6250,64000"
10489"6000,64000"
10490"6000,68000"
10491"18250,68000"
10492]
10493)
10494start &102
10495end &29
10496sat 32
10497eat 32
10498sty 1
10499st 0
10500sf 1
10501tg (WTG
10502uid 1985,0
10503ps "ConnStartEndStrategy"
10504stg "STSignalDisplayStrategy"
10505f (Text
10506uid 1986,0
10507va (VaSet
10508)
10509xt "11000,67000,17800,68000"
10510st "trigger_id : (47:0)"
10511blo "11000,67800"
10512tm "WireNameMgr"
10513)
10514)
10515on &108
10516)
10517*338 (Wire
10518uid 2299,0
10519shape (OrthoPolyLine
10520uid 2300,0
10521va (VaSet
10522vasetType 3
10523lineWidth 2
10524)
10525xt "40750,63000,71250,70000"
10526pts [
10527"71250,70000"
10528"67000,70000"
10529"67000,63000"
10530"40750,63000"
10531]
10532)
10533start &111
10534end &27
10535sat 32
10536eat 32
10537sty 1
10538st 0
10539sf 1
10540si 0
10541tg (WTG
10542uid 2303,0
10543ps "ConnStartEndStrategy"
10544stg "STSignalDisplayStrategy"
10545f (Text
10546uid 2304,0
10547va (VaSet
10548)
10549xt "42000,62000,58700,63000"
10550st "ram_start_addr : (RAMADDRWIDTH64b-1:0)"
10551blo "42000,62800"
10552tm "WireNameMgr"
10553)
10554)
10555on &109
10556)
10557*339 (Wire
10558uid 2470,0
10559shape (OrthoPolyLine
10560uid 2471,0
10561va (VaSet
10562vasetType 3
10563)
10564xt "103750,68000,126250,68000"
10565pts [
10566"103750,68000"
10567"115000,68000"
10568"126250,68000"
10569]
10570)
10571start &118
10572end &81
10573sat 32
10574eat 32
10575st 0
10576sf 1
10577si 0
10578tg (WTG
10579uid 2472,0
10580ps "ConnStartEndStrategy"
10581stg "STSignalDisplayStrategy"
10582f (Text
10583uid 2473,0
10584va (VaSet
10585)
10586xt "104000,67000,107400,68000"
10587st "wiz_busy"
10588blo "104000,67800"
10589tm "WireNameMgr"
10590)
10591)
10592on &132
10593)
10594*340 (Wire
10595uid 2476,0
10596shape (OrthoPolyLine
10597uid 2477,0
10598va (VaSet
10599vasetType 3
10600)
10601xt "103750,69000,126250,69000"
10602pts [
10603"103750,69000"
10604"115000,69000"
10605"126250,69000"
10606]
10607)
10608start &121
10609end &80
10610sat 32
10611eat 32
10612st 0
10613sf 1
10614si 0
10615tg (WTG
10616uid 2478,0
10617ps "ConnStartEndStrategy"
10618stg "STSignalDisplayStrategy"
10619f (Text
10620uid 2479,0
10621va (VaSet
10622)
10623xt "104000,68000,109100,69000"
10624st "wiz_write_ea"
10625blo "104000,68800"
10626tm "WireNameMgr"
10627)
10628)
10629on &133
10630)
10631*341 (Wire
10632uid 2482,0
10633shape (OrthoPolyLine
10634uid 2483,0
10635va (VaSet
10636vasetType 3
10637lineWidth 2
10638)
10639xt "103750,70000,126250,70000"
10640pts [
10641"103750,70000"
10642"115000,70000"
10643"126250,70000"
10644]
10645)
10646start &124
10647end &76
10648sat 32
10649eat 32
10650sty 1
10651st 0
10652sf 1
10653si 0
10654tg (WTG
10655uid 2484,0
10656ps "ConnStartEndStrategy"
10657stg "STSignalDisplayStrategy"
10658f (Text
10659uid 2485,0
10660va (VaSet
10661)
10662xt "104000,69000,113400,70000"
10663st "wiz_write_length : (16:0)"
10664blo "104000,69800"
10665tm "WireNameMgr"
10666)
10667)
10668on &134
10669)
10670*342 (Wire
10671uid 2488,0
10672shape (OrthoPolyLine
10673uid 2489,0
10674va (VaSet
10675vasetType 3
10676lineWidth 2
10677)
10678xt "103750,71000,126250,71000"
10679pts [
10680"103750,71000"
10681"115000,71000"
10682"126250,71000"
10683]
10684)
10685start &120
10686end &77
10687sat 32
10688eat 32
10689sty 1
10690st 0
10691sf 1
10692si 0
10693tg (WTG
10694uid 2490,0
10695ps "ConnStartEndStrategy"
10696stg "STSignalDisplayStrategy"
10697f (Text
10698uid 2491,0
10699va (VaSet
10700)
10701xt "104000,70000,122800,71000"
10702st "wiz_ram_start_addr : (RAMADDRWIDTH64b+1:0)"
10703blo "104000,70800"
10704tm "WireNameMgr"
10705)
10706)
10707on &135
10708)
10709*343 (Wire
10710uid 2494,0
10711shape (OrthoPolyLine
10712uid 2495,0
10713va (VaSet
10714vasetType 3
10715lineWidth 2
10716)
10717xt "103750,72000,126250,72000"
10718pts [
10719"103750,72000"
10720"115000,72000"
10721"126250,72000"
10722]
10723)
10724start &119
10725end &82
10726sat 32
10727eat 32
10728sty 1
10729st 0
10730sf 1
10731si 0
10732tg (WTG
10733uid 2496,0
10734ps "ConnStartEndStrategy"
10735stg "STSignalDisplayStrategy"
10736f (Text
10737uid 2497,0
10738va (VaSet
10739)
10740xt "104000,71000,115800,72000"
10741st "wiz_number_of_channels : (3:0)"
10742blo "104000,71800"
10743tm "WireNameMgr"
10744)
10745)
10746on &136
10747)
10748*344 (Wire
10749uid 2500,0
10750shape (OrthoPolyLine
10751uid 2501,0
10752va (VaSet
10753vasetType 3
10754)
10755xt "103750,73000,126250,73000"
10756pts [
10757"103750,73000"
10758"115000,73000"
10759"126250,73000"
10760]
10761)
10762start &122
10763end &83
10764sat 32
10765eat 32
10766st 0
10767sf 1
10768si 0
10769tg (WTG
10770uid 2502,0
10771ps "ConnStartEndStrategy"
10772stg "STSignalDisplayStrategy"
10773f (Text
10774uid 2503,0
10775va (VaSet
10776)
10777xt "104000,72000,109500,73000"
10778st "wiz_write_end"
10779blo "104000,72800"
10780tm "WireNameMgr"
10781)
10782)
10783on &137
10784)
10785*345 (Wire
10786uid 2506,0
10787shape (OrthoPolyLine
10788uid 2507,0
10789va (VaSet
10790vasetType 3
10791)
10792xt "103750,74000,126250,74000"
10793pts [
10794"103750,74000"
10795"115000,74000"
10796"126250,74000"
10797]
10798)
10799start &123
10800end &84
10801sat 32
10802eat 32
10803st 0
10804sf 1
10805si 0
10806tg (WTG
10807uid 2508,0
10808ps "ConnStartEndStrategy"
10809stg "STSignalDisplayStrategy"
10810f (Text
10811uid 2509,0
10812va (VaSet
10813)
10814xt "104000,73000,110600,74000"
10815st "wiz_write_header"
10816blo "104000,73800"
10817tm "WireNameMgr"
10818)
10819)
10820on &138
10821)
10822*346 (Wire
10823uid 2576,0
10824shape (OrthoPolyLine
10825uid 2577,0
10826va (VaSet
10827vasetType 3
10828)
10829xt "40750,64000,71250,71000"
10830pts [
10831"40750,64000"
10832"66000,64000"
10833"66000,71000"
10834"71250,71000"
10835]
10836)
10837start &32
10838end &115
10839sat 32
10840eat 32
10841st 0
10842sf 1
10843si 0
10844tg (WTG
10845uid 2578,0
10846ps "ConnStartEndStrategy"
10847stg "STSignalDisplayStrategy"
10848f (Text
10849uid 2579,0
10850va (VaSet
10851)
10852xt "42000,63000,47300,64000"
10853st "ram_write_ea"
10854blo "42000,63800"
10855tm "WireNameMgr"
10856)
10857)
10858on &139
10859)
10860*347 (Wire
10861uid 2582,0
10862shape (OrthoPolyLine
10863uid 2583,0
10864va (VaSet
10865vasetType 3
10866)
10867xt "40750,65000,71250,72000"
10868pts [
10869"40750,65000"
10870"65000,65000"
10871"65000,72000"
10872"71250,72000"
10873]
10874)
10875start &33
10876end &116
10877sat 32
10878eat 32
10879st 0
10880sf 1
10881si 0
10882tg (WTG
10883uid 2584,0
10884ps "ConnStartEndStrategy"
10885stg "STSignalDisplayStrategy"
10886f (Text
10887uid 2585,0
10888va (VaSet
10889)
10890xt "42000,64000,48300,65000"
10891st "ram_write_ready"
10892blo "42000,64800"
10893tm "WireNameMgr"
10894)
10895)
10896on &140
10897)
10898*348 (Wire
10899uid 2588,0
10900shape (OrthoPolyLine
10901uid 2589,0
10902va (VaSet
10903vasetType 3
10904)
10905xt "40750,70000,71250,75000"
10906pts [
10907"40750,70000"
10908"64000,70000"
10909"64000,75000"
10910"71250,75000"
10911]
10912)
10913start &53
10914end &114
10915ss 0
10916sat 32
10917eat 32
10918st 0
10919sf 1
10920si 0
10921tg (WTG
10922uid 2590,0
10923ps "ConnStartEndStrategy"
10924stg "STSignalDisplayStrategy"
10925f (Text
10926uid 2591,0
10927va (VaSet
10928)
10929xt "41000,69000,45800,70000"
10930st "config_start"
10931blo "41000,69800"
10932tm "WireNameMgr"
10933)
10934)
10935on &141
10936)
10937*349 (Wire
10938uid 2594,0
10939shape (OrthoPolyLine
10940uid 2595,0
10941va (VaSet
10942vasetType 3
10943)
10944xt "40750,72000,71250,77000"
10945pts [
10946"40750,72000"
10947"62000,72000"
10948"62000,77000"
10949"71250,77000"
10950]
10951)
10952start &49
10953end &113
10954sat 32
10955eat 32
10956st 0
10957sf 1
10958si 0
10959tg (WTG
10960uid 2596,0
10961ps "ConnStartEndStrategy"
10962stg "STSignalDisplayStrategy"
10963f (Text
10964uid 2597,0
10965va (VaSet
10966)
10967xt "41000,71000,46100,72000"
10968st "config_ready"
10969blo "41000,71800"
10970tm "WireNameMgr"
10971)
10972)
10973on &142
10974)
10975*350 (Wire
10976uid 2600,0
10977shape (OrthoPolyLine
10978uid 2601,0
10979va (VaSet
10980vasetType 3
10981)
10982xt "40750,74000,71250,78000"
10983pts [
10984"40750,74000"
10985"61000,74000"
10986"61000,78000"
10987"71250,78000"
10988]
10989)
10990start &34
10991end &117
10992sat 32
10993eat 32
10994st 0
10995sf 1
10996si 0
10997tg (WTG
10998uid 2602,0
10999ps "ConnStartEndStrategy"
11000stg "STSignalDisplayStrategy"
11001f (Text
11002uid 2603,0
11003va (VaSet
11004)
11005xt "41000,73000,44000,74000"
11006st "roi_max"
11007blo "41000,73800"
11008tm "WireNameMgr"
11009)
11010)
11011on &143
11012)
11013*351 (Wire
11014uid 2642,0
11015shape (OrthoPolyLine
11016uid 2643,0
11017va (VaSet
11018vasetType 3
11019lineWidth 2
11020)
11021xt "40750,75000,71250,79000"
11022pts [
11023"40750,75000"
11024"60000,75000"
11025"60000,79000"
11026"71250,79000"
11027]
11028)
11029start &36
11030end &126
11031sat 32
11032eat 32
11033sty 1
11034st 0
11035sf 1
11036si 0
11037tg (WTG
11038uid 2644,0
11039ps "ConnStartEndStrategy"
11040stg "STSignalDisplayStrategy"
11041f (Text
11042uid 2645,0
11043va (VaSet
11044)
11045xt "41000,74000,50100,75000"
11046st "package_length : (15:0)"
11047blo "41000,74800"
11048tm "WireNameMgr"
11049)
11050)
11051on &144
11052)
11053*352 (Wire
11054uid 2778,0
11055shape (OrthoPolyLine
11056uid 2779,0
11057va (VaSet
11058vasetType 3
11059)
11060xt "-26000,82000,18250,82000"
11061pts [
11062"18250,82000"
11063"-26000,82000"
11064]
11065)
11066start &37
11067end &146
11068sat 32
11069eat 32
11070stc 0
11071st 0
11072sf 1
11073si 0
11074tg (WTG
11075uid 2782,0
11076ps "ConnStartEndStrategy"
11077stg "STSignalDisplayStrategy"
11078f (Text
11079uid 2783,0
11080va (VaSet
11081isHidden 1
11082)
11083xt "-20000,76000,-16800,77000"
11084st "adc_oeb"
11085blo "-20000,76800"
11086tm "WireNameMgr"
11087)
11088)
11089on &145
11090)
11091*353 (Wire
11092uid 2786,0
11093shape (OrthoPolyLine
11094uid 2787,0
11095va (VaSet
11096vasetType 3
11097lineWidth 2
11098)
11099xt "-26000,77000,-18750,77000"
11100pts [
11101"-26000,77000"
11102"-18750,77000"
11103]
11104)
11105start &147
11106end &250
11107sat 32
11108eat 32
11109sty 1
11110stc 0
11111st 0
11112sf 1
11113si 0
11114tg (WTG
11115uid 2790,0
11116ps "ConnStartEndStrategy"
11117stg "STSignalDisplayStrategy"
11118f (Text
11119uid 2791,0
11120va (VaSet
11121isHidden 1
11122)
11123xt "-57000,70000,-51600,71000"
11124st "adc_otr_array"
11125blo "-57000,70800"
11126tm "WireNameMgr"
11127)
11128)
11129on &176
11130)
11131*354 (Wire
11132uid 2876,0
11133shape (OrthoPolyLine
11134uid 2877,0
11135va (VaSet
11136vasetType 3
11137)
11138xt "-22000,64000,-18750,69000"
11139pts [
11140"-22000,69000"
11141"-22000,64000"
11142"-18750,64000"
11143]
11144)
11145start &335
11146end &103
11147es 0
11148sat 32
11149eat 32
11150st 0
11151sf 1
11152tg (WTG
11153uid 2880,0
11154ps "ConnStartEndStrategy"
11155stg "STSignalDisplayStrategy"
11156f (Text
11157uid 2881,0
11158ro 270
11159va (VaSet
11160)
11161xt "-23000,64200,-22000,67000"
11162st "trigger"
11163blo "-22200,67000"
11164tm "WireNameMgr"
11165)
11166)
11167on &65
11168)
11169*355 (Wire
11170uid 3888,0
11171optionalChildren [
11172*356 (BdJunction
11173uid 4230,0
11174ps "OnConnectorStrategy"
11175shape (Circle
11176uid 4231,0
11177va (VaSet
11178vasetType 1
11179)
11180xt "4600,18600,5400,19400"
11181radius 400
11182)
11183)
11184*357 (BdJunction
11185uid 4244,0
11186ps "OnConnectorStrategy"
11187shape (Circle
11188uid 4245,0
11189va (VaSet
11190vasetType 1
11191)
11192xt "108600,18600,109400,19400"
11193radius 400
11194)
11195)
11196]
11197shape (OrthoPolyLine
11198uid 3889,0
11199va (VaSet
11200vasetType 3
11201lineColor "0,0,65535"
11202)
11203xt "-1250,19000,126250,52000"
11204pts [
11205"-1250,19000"
11206"123000,19000"
11207"123000,52000"
11208"126250,52000"
11209]
11210)
11211start &166
11212end &68
11213sat 32
11214eat 32
11215stc 0
11216st 0
11217sf 1
11218si 0
11219tg (WTG
11220uid 3890,0
11221ps "ConnStartEndStrategy"
11222stg "STSignalDisplayStrategy"
11223f (Text
11224uid 3891,0
11225va (VaSet
11226)
11227xt "750,18000,3850,19000"
11228st "CLK_50"
11229blo "750,18800"
11230tm "WireNameMgr"
11231)
11232)
11233on &164
11234)
11235*358 (Wire
11236uid 3984,0
11237shape (OrthoPolyLine
11238uid 3985,0
11239va (VaSet
11240vasetType 3
11241lineColor "49152,0,0"
11242)
11243xt "-26000,23000,2000,31000"
11244pts [
11245"-26000,31000"
11246"2000,31000"
11247"2000,23000"
11248"-1250,23000"
11249]
11250)
11251start &163
11252end &168
11253sat 32
11254eat 32
11255stc 0
11256st 0
11257sf 1
11258si 0
11259tg (WTG
11260uid 3986,0
11261ps "ConnStartEndStrategy"
11262stg "STSignalDisplayStrategy"
11263f (Text
11264uid 3987,0
11265va (VaSet
11266isHidden 1
11267)
11268xt "-27000,32000,-22500,33000"
11269st "CLK_25_PS"
11270blo "-27000,32800"
11271tm "WireNameMgr"
11272)
11273)
11274on &162
11275)
11276*359 (Wire
11277uid 4042,0
11278shape (OrthoPolyLine
11279uid 4043,0
11280va (VaSet
11281vasetType 3
11282)
11283xt "-26000,19000,-20750,19000"
11284pts [
11285"-26000,19000"
11286"-20750,19000"
11287]
11288)
11289start &1
11290end &169
11291sat 32
11292eat 32
11293stc 0
11294st 0
11295sf 1
11296si 0
11297tg (WTG
11298uid 4044,0
11299ps "ConnStartEndStrategy"
11300stg "STSignalDisplayStrategy"
11301f (Text
11302uid 4045,0
11303va (VaSet
11304isHidden 1
11305)
11306xt "-25000,32000,-23100,33000"
11307st "CLK"
11308blo "-25000,32800"
11309tm "WireNameMgr"
11310)
11311)
11312on &175
11313)
11314*360 (Wire
11315uid 4226,0
11316shape (OrthoPolyLine
11317uid 4227,0
11318va (VaSet
11319vasetType 3
11320lineColor "0,0,65535"
11321)
11322xt "-26000,19000,5000,34000"
11323pts [
11324"-26000,34000"
11325"5000,34000"
11326"5000,19000"
11327]
11328)
11329start &174
11330end &356
11331sat 32
11332eat 32
11333stc 0
11334st 0
11335sf 1
11336si 0
11337tg (WTG
11338uid 4228,0
11339ps "ConnStartEndStrategy"
11340stg "STSignalDisplayStrategy"
11341f (Text
11342uid 4229,0
11343va (VaSet
11344isHidden 1
11345)
11346xt "-27000,30000,-23900,31000"
11347st "CLK_50"
11348blo "-27000,30800"
11349tm "WireNameMgr"
11350)
11351)
11352on &164
11353)
11354*361 (Wire
11355uid 4240,0
11356shape (OrthoPolyLine
11357uid 4241,0
11358va (VaSet
11359vasetType 3
11360lineColor "0,0,65535"
11361)
11362xt "91750,19000,109000,44000"
11363pts [
11364"91750,44000"
11365"109000,44000"
11366"109000,19000"
11367]
11368)
11369start &314
11370end &357
11371sat 32
11372eat 32
11373stc 0
11374st 0
11375sf 1
11376si 0
11377tg (WTG
11378uid 4242,0
11379ps "ConnStartEndStrategy"
11380stg "STSignalDisplayStrategy"
11381f (Text
11382uid 4243,0
11383va (VaSet
11384)
11385xt "93000,44000,96100,45000"
11386st "CLK_50"
11387blo "93000,44800"
11388tm "WireNameMgr"
11389)
11390)
11391on &164
11392)
11393*362 (Wire
11394uid 4272,0
11395shape (OrthoPolyLine
11396uid 4273,0
11397va (VaSet
11398vasetType 3
11399)
11400xt "-26000,76000,-18750,76000"
11401pts [
11402"-26000,76000"
11403"-18750,76000"
11404]
11405)
11406start &178
11407end &247
11408sat 32
11409eat 32
11410stc 0
11411st 0
11412sf 1
11413si 0
11414tg (WTG
11415uid 4274,0
11416ps "ConnStartEndStrategy"
11417stg "STSignalDisplayStrategy"
11418f (Text
11419uid 4275,0
11420va (VaSet
11421isHidden 1
11422)
11423xt "-56000,70000,-50100,71000"
11424st "adc_data_array"
11425blo "-56000,70800"
11426tm "WireNameMgr"
11427)
11428)
11429on &177
11430)
11431*363 (Wire
11432uid 4401,0
11433shape (OrthoPolyLine
11434uid 4402,0
11435va (VaSet
11436vasetType 3
11437)
11438xt "1750,53000,18250,53000"
11439pts [
11440"18250,53000"
11441"1750,53000"
11442]
11443)
11444start &40
11445end &197
11446sat 32
11447eat 32
11448st 0
11449sf 1
11450si 0
11451tg (WTG
11452uid 4403,0
11453ps "ConnStartEndStrategy"
11454stg "STSignalDisplayStrategy"
11455f (Text
11456uid 4404,0
11457va (VaSet
11458)
11459xt "13250,52000,17650,53000"
11460st "drs_clk_en"
11461blo "13250,52800"
11462tm "WireNameMgr"
11463)
11464)
11465on &179
11466)
11467*364 (Wire
11468uid 4407,0
11469shape (OrthoPolyLine
11470uid 4408,0
11471va (VaSet
11472vasetType 3
11473)
11474xt "1750,52000,18250,52000"
11475pts [
11476"18250,52000"
11477"1750,52000"
11478]
11479)
11480start &44
11481end &203
11482sat 32
11483eat 32
11484st 0
11485sf 1
11486si 0
11487tg (WTG
11488uid 4409,0
11489ps "ConnStartEndStrategy"
11490stg "STSignalDisplayStrategy"
11491f (Text
11492uid 4410,0
11493va (VaSet
11494)
11495xt "11250,51000,17650,52000"
11496st "drs_s_cell_array"
11497blo "11250,51800"
11498tm "WireNameMgr"
11499)
11500)
11501on &180
11502)
11503*365 (Wire
11504uid 4419,0
11505shape (OrthoPolyLine
11506uid 4420,0
11507va (VaSet
11508vasetType 3
11509)
11510xt "1750,50000,18250,50000"
11511pts [
11512"18250,50000"
11513"1750,50000"
11514]
11515)
11516start &42
11517end &198
11518sat 32
11519eat 32
11520st 0
11521sf 1
11522si 0
11523tg (WTG
11524uid 4421,0
11525ps "ConnStartEndStrategy"
11526stg "STSignalDisplayStrategy"
11527f (Text
11528uid 4422,0
11529va (VaSet
11530)
11531xt "11250,49000,17450,50000"
11532st "drs_read_s_cell"
11533blo "11250,49800"
11534tm "WireNameMgr"
11535)
11536)
11537on &181
11538)
11539*366 (Wire
11540uid 4537,0
11541shape (OrthoPolyLine
11542uid 4538,0
11543va (VaSet
11544vasetType 3
11545lineWidth 2
11546)
11547xt "-26000,57000,18250,57000"
11548pts [
11549"18250,57000"
11550"-26000,57000"
11551]
11552)
11553start &39
11554end &184
11555sat 32
11556eat 32
11557sty 1
11558stc 0
11559st 0
11560sf 1
11561si 0
11562tg (WTG
11563uid 4541,0
11564ps "ConnStartEndStrategy"
11565stg "STSignalDisplayStrategy"
11566f (Text
11567uid 4542,0
11568va (VaSet
11569isHidden 1
11570)
11571xt "-20000,56000,-14100,57000"
11572st "drs_channel_id"
11573blo "-20000,56800"
11574tm "WireNameMgr"
11575)
11576)
11577on &182
11578)
11579*367 (Wire
11580uid 4545,0
11581shape (OrthoPolyLine
11582uid 4546,0
11583va (VaSet
11584vasetType 3
11585)
11586xt "-26000,60000,1000,60000"
11587pts [
11588"1000,60000"
11589"-26000,60000"
11590]
11591)
11592start &291
11593end &185
11594sat 32
11595eat 32
11596stc 0
11597st 0
11598sf 1
11599si 0
11600tg (WTG
11601uid 4549,0
11602ps "ConnStartEndStrategy"
11603stg "STSignalDisplayStrategy"
11604f (Text
11605uid 4550,0
11606va (VaSet
11607)
11608xt "-18000,59000,-13700,60000"
11609st "drs_dwrite"
11610blo "-18000,59800"
11611tm "WireNameMgr"
11612)
11613)
11614on &183
11615)
11616*368 (Wire
11617uid 4671,0
11618shape (OrthoPolyLine
11619uid 4672,0
11620va (VaSet
11621vasetType 3
11622)
11623xt "-26000,47000,-18750,47000"
11624pts [
11625"-26000,47000"
11626"-18750,47000"
11627]
11628)
11629start &190
11630end &199
11631sat 32
11632eat 32
11633stc 0
11634st 0
11635sf 1
11636si 0
11637tg (WTG
11638uid 4675,0
11639ps "ConnStartEndStrategy"
11640stg "STSignalDisplayStrategy"
11641f (Text
11642uid 4676,0
11643va (VaSet
11644isHidden 1
11645)
11646xt "-25000,46000,-19600,47000"
11647st "SROUT_in_0"
11648blo "-25000,46800"
11649tm "WireNameMgr"
11650)
11651)
11652on &186
11653)
11654*369 (Wire
11655uid 4679,0
11656shape (OrthoPolyLine
11657uid 4680,0
11658va (VaSet
11659vasetType 3
11660)
11661xt "-26000,48000,-18750,48000"
11662pts [
11663"-26000,48000"
11664"-18750,48000"
11665]
11666)
11667start &191
11668end &200
11669sat 32
11670eat 32
11671stc 0
11672st 0
11673sf 1
11674si 0
11675tg (WTG
11676uid 4683,0
11677ps "ConnStartEndStrategy"
11678stg "STSignalDisplayStrategy"
11679f (Text
11680uid 4684,0
11681va (VaSet
11682isHidden 1
11683)
11684xt "-25000,47000,-19600,48000"
11685st "SROUT_in_1"
11686blo "-25000,47800"
11687tm "WireNameMgr"
11688)
11689)
11690on &187
11691)
11692*370 (Wire
11693uid 4687,0
11694shape (OrthoPolyLine
11695uid 4688,0
11696va (VaSet
11697vasetType 3
11698)
11699xt "-26000,49000,-18750,49000"
11700pts [
11701"-26000,49000"
11702"-18750,49000"
11703]
11704)
11705start &192
11706end &201
11707sat 32
11708eat 32
11709stc 0
11710st 0
11711sf 1
11712si 0
11713tg (WTG
11714uid 4691,0
11715ps "ConnStartEndStrategy"
11716stg "STSignalDisplayStrategy"
11717f (Text
11718uid 4692,0
11719va (VaSet
11720isHidden 1
11721)
11722xt "-25000,48000,-19600,49000"
11723st "SROUT_in_2"
11724blo "-25000,48800"
11725tm "WireNameMgr"
11726)
11727)
11728on &188
11729)
11730*371 (Wire
11731uid 4695,0
11732shape (OrthoPolyLine
11733uid 4696,0
11734va (VaSet
11735vasetType 3
11736)
11737xt "-26000,50000,-18750,50000"
11738pts [
11739"-26000,50000"
11740"-18750,50000"
11741]
11742)
11743start &193
11744end &202
11745sat 32
11746eat 32
11747stc 0
11748st 0
11749sf 1
11750si 0
11751tg (WTG
11752uid 4699,0
11753ps "ConnStartEndStrategy"
11754stg "STSignalDisplayStrategy"
11755f (Text
11756uid 4700,0
11757va (VaSet
11758isHidden 1
11759)
11760xt "-25000,49000,-19600,50000"
11761st "SROUT_in_3"
11762blo "-25000,49800"
11763tm "WireNameMgr"
11764)
11765)
11766on &189
11767)
11768*372 (Wire
11769uid 4743,0
11770shape (OrthoPolyLine
11771uid 4744,0
11772va (VaSet
11773vasetType 3
11774)
11775xt "1750,51000,18250,51000"
11776pts [
11777"1750,51000"
11778"18250,51000"
11779]
11780)
11781start &204
11782end &43
11783sat 32
11784eat 32
11785st 0
11786sf 1
11787si 0
11788tg (WTG
11789uid 4747,0
11790ps "ConnStartEndStrategy"
11791stg "STSignalDisplayStrategy"
11792f (Text
11793uid 4748,0
11794va (VaSet
11795)
11796xt "3750,50000,12550,51000"
11797st "drs_read_s_cell_ready"
11798blo "3750,50800"
11799tm "WireNameMgr"
11800)
11801)
11802on &194
11803)
11804*373 (Wire
11805uid 4757,0
11806optionalChildren [
11807*374 (BdJunction
11808uid 6076,0
11809ps "OnConnectorStrategy"
11810shape (Circle
11811uid 6077,0
11812va (VaSet
11813vasetType 1
11814)
11815xt "14600,37600,15400,38400"
11816radius 400
11817)
11818)
11819]
11820shape (OrthoPolyLine
11821uid 4758,0
11822va (VaSet
11823vasetType 3
11824lineColor "0,32896,0"
11825)
11826xt "-22000,38000,15000,45000"
11827pts [
11828"-18750,45000"
11829"-22000,45000"
11830"-22000,38000"
11831"15000,38000"
11832]
11833)
11834start &196
11835end *375 (BdJunction
11836uid 6080,0
11837ps "OnConnectorStrategy"
11838shape (Circle
11839uid 6081,0
11840va (VaSet
11841vasetType 1
11842)
11843xt "14600,37600,15400,38400"
11844radius 400
11845)
11846)
11847sat 32
11848eat 32
11849stc 0
11850st 0
11851sf 1
11852si 0
11853tg (WTG
11854uid 4759,0
11855ps "ConnStartEndStrategy"
11856stg "STSignalDisplayStrategy"
11857f (Text
11858uid 4760,0
11859va (VaSet
11860)
11861xt "-22750,44000,-19650,45000"
11862st "CLK_25"
11863blo "-22750,44800"
11864tm "WireNameMgr"
11865)
11866)
11867on &173
11868)
11869*376 (Wire
11870uid 4948,0
11871shape (OrthoPolyLine
11872uid 4949,0
11873va (VaSet
11874vasetType 3
11875)
11876xt "-26000,51000,-18750,51000"
11877pts [
11878"-18750,51000"
11879"-26000,51000"
11880]
11881)
11882start &205
11883end &211
11884sat 32
11885eat 32
11886stc 0
11887st 0
11888sf 1
11889si 0
11890tg (WTG
11891uid 4952,0
11892ps "ConnStartEndStrategy"
11893stg "STSignalDisplayStrategy"
11894f (Text
11895uid 4953,0
11896va (VaSet
11897isHidden 1
11898)
11899xt "-24000,50000,-19800,51000"
11900st "RSRLOAD"
11901blo "-24000,50800"
11902tm "WireNameMgr"
11903)
11904)
11905on &210
11906)
11907*377 (Wire
11908uid 4962,0
11909shape (OrthoPolyLine
11910uid 4963,0
11911va (VaSet
11912vasetType 3
11913)
11914xt "-26000,52000,-18750,52000"
11915pts [
11916"-18750,52000"
11917"-26000,52000"
11918]
11919)
11920start &206
11921end &213
11922sat 32
11923eat 32
11924stc 0
11925st 0
11926sf 1
11927si 0
11928tg (WTG
11929uid 4966,0
11930ps "ConnStartEndStrategy"
11931stg "STSignalDisplayStrategy"
11932f (Text
11933uid 4967,0
11934va (VaSet
11935isHidden 1
11936)
11937xt "-23000,51000,-20000,52000"
11938st "SRCLK"
11939blo "-23000,51800"
11940tm "WireNameMgr"
11941)
11942)
11943on &212
11944)
11945*378 (Wire
11946uid 5090,0
11947shape (OrthoPolyLine
11948uid 5091,0
11949va (VaSet
11950vasetType 3
11951lineWidth 2
11952)
11953xt "92750,79000,126250,100000"
11954pts [
11955"126250,79000"
11956"116000,79000"
11957"116000,100000"
11958"92750,100000"
11959]
11960)
11961start &87
11962end &216
11963sat 32
11964eat 32
11965sty 1
11966st 0
11967sf 1
11968tg (WTG
11969uid 5094,0
11970ps "ConnStartEndStrategy"
11971stg "STSignalDisplayStrategy"
11972f (Text
11973uid 5095,0
11974va (VaSet
11975)
11976xt "119000,78000,126000,79000"
11977st "config_addr : (7:0)"
11978blo "119000,78800"
11979tm "WireNameMgr"
11980)
11981)
11982on &230
11983)
11984*379 (Wire
11985uid 5098,0
11986shape (OrthoPolyLine
11987uid 5099,0
11988va (VaSet
11989vasetType 3
11990)
11991xt "92750,102000,103000,102000"
11992pts [
11993"92750,102000"
11994"103000,102000"
11995]
11996)
11997start &217
11998sat 32
11999eat 16
12000st 0
12001sf 1
12002tg (WTG
12003uid 5102,0
12004ps "ConnStartEndStrategy"
12005stg "STSignalDisplayStrategy"
12006f (Text
12007uid 5103,0
12008va (VaSet
12009)
12010xt "94000,101000,100600,102000"
12011st "config_data_valid"
12012blo "94000,101800"
12013tm "WireNameMgr"
12014)
12015)
12016on &231
12017)
12018*380 (Wire
12019uid 5106,0
12020shape (OrthoPolyLine
12021uid 5107,0
12022va (VaSet
12023vasetType 3
12024)
12025xt "92750,84000,126250,106000"
12026pts [
12027"92750,106000"
12028"121000,106000"
12029"121000,84000"
12030"126250,84000"
12031]
12032)
12033start &218
12034end &88
12035sat 32
12036eat 32
12037st 0
12038sf 1
12039tg (WTG
12040uid 5110,0
12041ps "ConnStartEndStrategy"
12042stg "STSignalDisplayStrategy"
12043f (Text
12044uid 5111,0
12045va (VaSet
12046)
12047xt "94750,105000,99550,106000"
12048st "config_busy"
12049blo "94750,105800"
12050tm "WireNameMgr"
12051)
12052)
12053on &232
12054)
12055*381 (Wire
12056uid 5114,0
12057shape (OrthoPolyLine
12058uid 5115,0
12059va (VaSet
12060vasetType 3
12061lineWidth 2
12062)
12063xt "92750,80000,126250,101000"
12064pts [
12065"92750,101000"
12066"117000,101000"
12067"117000,80000"
12068"126250,80000"
12069]
12070)
12071start &219
12072end &89
12073sat 32
12074eat 32
12075sty 1
12076st 0
12077sf 1
12078tg (WTG
12079uid 5118,0
12080ps "ConnStartEndStrategy"
12081stg "STSignalDisplayStrategy"
12082f (Text
12083uid 5119,0
12084va (VaSet
12085)
12086xt "94000,100000,101700,101000"
12087st "config_data : (15:0)"
12088blo "94000,100800"
12089tm "WireNameMgr"
12090)
12091)
12092on &233
12093)
12094*382 (Wire
12095uid 5122,0
12096shape (OrthoPolyLine
12097uid 5123,0
12098va (VaSet
12099vasetType 3
12100)
12101xt "92750,82000,126250,104000"
12102pts [
12103"126250,82000"
12104"119000,82000"
12105"119000,104000"
12106"92750,104000"
12107]
12108)
12109start &91
12110end &221
12111sat 32
12112eat 32
12113st 0
12114sf 1
12115tg (WTG
12116uid 5126,0
12117ps "ConnStartEndStrategy"
12118stg "STSignalDisplayStrategy"
12119f (Text
12120uid 5127,0
12121va (VaSet
12122)
12123xt "121000,81000,126300,82000"
12124st "config_wr_en"
12125blo "121000,81800"
12126tm "WireNameMgr"
12127)
12128)
12129on &234
12130)
12131*383 (Wire
12132uid 5130,0
12133shape (OrthoPolyLine
12134uid 5131,0
12135va (VaSet
12136vasetType 3
12137)
12138xt "92750,83000,126250,105000"
12139pts [
12140"126250,83000"
12141"120000,83000"
12142"120000,105000"
12143"92750,105000"
12144]
12145)
12146start &93
12147end &223
12148sat 32
12149eat 32
12150st 0
12151sf 1
12152tg (WTG
12153uid 5134,0
12154ps "ConnStartEndStrategy"
12155stg "STSignalDisplayStrategy"
12156f (Text
12157uid 5135,0
12158va (VaSet
12159)
12160xt "121000,82000,126200,83000"
12161st "config_rd_en"
12162blo "121000,82800"
12163tm "WireNameMgr"
12164)
12165)
12166on &235
12167)
12168*384 (Wire
12169uid 5138,0
12170optionalChildren [
12171*385 (BdJunction
12172uid 5400,0
12173ps "OnConnectorStrategy"
12174shape (Circle
12175uid 5401,0
12176va (VaSet
12177vasetType 1
12178)
12179xt "57600,84600,58400,85400"
12180radius 400
12181)
12182)
12183]
12184shape (OrthoPolyLine
12185uid 5139,0
12186va (VaSet
12187vasetType 3
12188)
12189xt "40750,80000,73250,102000"
12190pts [
12191"73250,102000"
12192"58000,102000"
12193"58000,80000"
12194"40750,80000"
12195]
12196)
12197start &220
12198end &35
12199ss 0
12200es 0
12201sat 32
12202eat 32
12203st 0
12204sf 1
12205tg (WTG
12206uid 5142,0
12207ps "ConnStartEndStrategy"
12208stg "STSignalDisplayStrategy"
12209f (Text
12210uid 5143,0
12211va (VaSet
12212)
12213xt "42000,79000,45400,80000"
12214st "roi_array"
12215blo "42000,79800"
12216tm "WireNameMgr"
12217)
12218)
12219on &148
12220)
12221*386 (Wire
12222uid 5146,0
12223shape (OrthoPolyLine
12224uid 5147,0
12225va (VaSet
12226vasetType 3
12227)
12228xt "37750,109000,73250,109000"
12229pts [
12230"73250,109000"
12231"37750,109000"
12232]
12233)
12234start &222
12235end &258
12236es 0
12237sat 32
12238eat 32
12239st 0
12240sf 1
12241tg (WTG
12242uid 5150,0
12243ps "ConnStartEndStrategy"
12244stg "STSignalDisplayStrategy"
12245f (Text
12246uid 5151,0
12247va (VaSet
12248)
12249xt "39000,108000,42700,109000"
12250st "dac_array"
12251blo "39000,108800"
12252tm "WireNameMgr"
12253)
12254)
12255on &236
12256)
12257*387 (Wire
12258uid 5168,0
12259shape (OrthoPolyLine
12260uid 5169,0
12261va (VaSet
12262vasetType 3
12263)
12264xt "58000,85000,71250,85000"
12265pts [
12266"58000,85000"
12267"71250,85000"
12268]
12269)
12270start &385
12271end &125
12272sat 32
12273eat 32
12274st 0
12275sf 1
12276si 0
12277tg (WTG
12278uid 5172,0
12279ps "ConnStartEndStrategy"
12280stg "STSignalDisplayStrategy"
12281f (Text
12282uid 5173,0
12283va (VaSet
12284)
12285xt "68000,84000,71400,85000"
12286st "roi_array"
12287blo "68000,84800"
12288tm "WireNameMgr"
12289)
12290)
12291on &148
12292)
12293*388 (Wire
12294uid 5184,0
12295shape (OrthoPolyLine
12296uid 5185,0
12297va (VaSet
12298vasetType 3
12299)
12300xt "40750,81000,73250,103000"
12301pts [
12302"73250,103000"
12303"57000,103000"
12304"57000,81000"
12305"40750,81000"
12306]
12307)
12308start &224
12309end &47
12310sat 32
12311eat 32
12312st 0
12313sf 1
12314tg (WTG
12315uid 5186,0
12316ps "ConnStartEndStrategy"
12317stg "STSignalDisplayStrategy"
12318f (Text
12319uid 5187,0
12320va (VaSet
12321)
12322xt "66000,102000,72200,103000"
12323st "config_start_cm"
12324blo "66000,102800"
12325tm "WireNameMgr"
12326)
12327)
12328on &237
12329)
12330*389 (Wire
12331uid 5190,0
12332shape (OrthoPolyLine
12333uid 5191,0
12334va (VaSet
12335vasetType 3
12336)
12337xt "40750,83000,73250,105000"
12338pts [
12339"73250,105000"
12340"55000,105000"
12341"55000,83000"
12342"40750,83000"
12343]
12344)
12345start &225
12346end &46
12347sat 32
12348eat 32
12349st 0
12350sf 1
12351tg (WTG
12352uid 5192,0
12353ps "ConnStartEndStrategy"
12354stg "STSignalDisplayStrategy"
12355f (Text
12356uid 5193,0
12357va (VaSet
12358)
12359xt "66000,104000,72500,105000"
12360st "config_ready_cm"
12361blo "66000,104800"
12362tm "WireNameMgr"
12363)
12364)
12365on &238
12366)
12367*390 (Wire
12368uid 5222,0
12369shape (OrthoPolyLine
12370uid 5223,0
12371va (VaSet
12372vasetType 3
12373lineWidth 2
12374)
12375xt "148750,71000,153000,71000"
12376pts [
12377"148750,71000"
12378"153000,71000"
12379]
12380)
12381start &85
12382end &19
12383sat 32
12384eat 32
12385sty 1
12386stc 0
12387st 0
12388sf 1
12389si 0
12390tg (WTG
12391uid 5224,0
12392ps "ConnStartEndStrategy"
12393stg "STSignalDisplayStrategy"
12394f (Text
12395uid 5225,0
12396va (VaSet
12397isHidden 1
12398)
12399xt "150750,70000,152150,71000"
12400st "led"
12401blo "150750,70800"
12402tm "WireNameMgr"
12403)
12404)
12405on &239
12406)
12407*391 (Wire
12408uid 5281,0
12409shape (OrthoPolyLine
12410uid 5282,0
12411va (VaSet
12412vasetType 3
12413)
12414xt "40750,53000,126250,59000"
12415pts [
12416"126250,59000"
12417"73000,59000"
12418"73000,53000"
12419"40750,53000"
12420]
12421)
12422start &86
12423end &48
12424sat 32
12425eat 32
12426st 0
12427sf 1
12428si 0
12429tg (WTG
12430uid 5283,0
12431ps "ConnStartEndStrategy"
12432stg "STSignalDisplayStrategy"
12433f (Text
12434uid 5284,0
12435va (VaSet
12436)
12437xt "121250,58000,124850,59000"
12438st "s_trigger"
12439blo "121250,58800"
12440tm "WireNameMgr"
12441)
12442)
12443on &240
12444)
12445*392 (Wire
12446uid 5404,0
12447shape (OrthoPolyLine
12448uid 5405,0
12449va (VaSet
12450vasetType 3
12451)
12452xt "37750,85000,48000,107000"
12453pts [
12454"37750,107000"
12455"48000,107000"
12456"48000,85000"
12457"40750,85000"
12458]
12459)
12460start &259
12461end &50
12462sat 32
12463eat 32
12464st 0
12465sf 1
12466tg (WTG
12467uid 5406,0
12468ps "ConnStartEndStrategy"
12469stg "STSignalDisplayStrategy"
12470f (Text
12471uid 5407,0
12472va (VaSet
12473)
12474xt "39000,106000,45500,107000"
12475st "config_ready_spi"
12476blo "39000,106800"
12477tm "WireNameMgr"
12478)
12479)
12480on &243
12481)
12482*393 (Wire
12483uid 5474,0
12484shape (OrthoPolyLine
12485uid 5475,0
12486va (VaSet
12487vasetType 3
12488)
12489xt "37750,90000,44000,101000"
12490pts [
12491"37750,101000"
12492"44000,101000"
12493"44000,90000"
12494"40750,90000"
12495]
12496)
12497start &262
12498end &52
12499sat 32
12500eat 32
12501st 0
12502sf 1
12503tg (WTG
12504uid 5476,0
12505ps "ConnStartEndStrategy"
12506stg "STSignalDisplayStrategy"
12507f (Text
12508uid 5477,0
12509va (VaSet
12510)
12511xt "39750,100000,45050,101000"
12512st "sensor_ready"
12513blo "39750,100800"
12514tm "WireNameMgr"
12515)
12516)
12517on &241
12518)
12519*394 (Wire
12520uid 5480,0
12521shape (OrthoPolyLine
12522uid 5481,0
12523va (VaSet
12524vasetType 3
12525)
12526xt "37750,89000,45000,102000"
12527pts [
12528"37750,102000"
12529"45000,102000"
12530"45000,89000"
12531"40750,89000"
12532]
12533)
12534start &261
12535end &51
12536sat 32
12537eat 32
12538st 0
12539sf 1
12540tg (WTG
12541uid 5482,0
12542ps "ConnStartEndStrategy"
12543stg "STSignalDisplayStrategy"
12544f (Text
12545uid 5483,0
12546va (VaSet
12547)
12548xt "39750,101000,44950,102000"
12549st "sensor_array"
12550blo "39750,101800"
12551tm "WireNameMgr"
12552)
12553)
12554on &242
12555)
12556*395 (Wire
12557uid 5582,0
12558shape (OrthoPolyLine
12559uid 5583,0
12560va (VaSet
12561vasetType 3
12562lineColor "0,0,65535"
12563)
12564xt "69000,100000,73250,100000"
12565pts [
12566"69000,100000"
12567"73250,100000"
12568]
12569)
12570end &215
12571sat 16
12572eat 32
12573st 0
12574sf 1
12575tg (WTG
12576uid 5586,0
12577ps "ConnStartEndStrategy"
12578stg "STSignalDisplayStrategy"
12579f (Text
12580uid 5587,0
12581va (VaSet
12582)
12583xt "70000,99000,73100,100000"
12584st "CLK_50"
12585blo "70000,99800"
12586tm "WireNameMgr"
12587)
12588)
12589on &164
12590)
12591*396 (Wire
12592uid 5602,0
12593optionalChildren [
12594&375
12595*397 (BdJunction
12596uid 6086,0
12597ps "OnConnectorStrategy"
12598shape (Circle
12599uid 6087,0
12600va (VaSet
12601vasetType 1
12602)
12603xt "67600,43600,68400,44400"
12604radius 400
12605)
12606)
12607]
12608shape (OrthoPolyLine
12609uid 5603,0
12610va (VaSet
12611vasetType 3
12612lineColor "0,32896,0"
12613)
12614xt "15000,38000,76250,48000"
12615pts [
12616"18250,48000"
12617"15000,48000"
12618"15000,38000"
12619"68000,38000"
12620"68000,44000"
12621"76250,44000"
12622]
12623)
12624start &23
12625end &310
12626sat 32
12627eat 32
12628stc 0
12629st 0
12630sf 1
12631si 0
12632tg (WTG
12633uid 5604,0
12634ps "ConnStartEndStrategy"
12635stg "STSignalDisplayStrategy"
12636f (Text
12637uid 5605,0
12638va (VaSet
12639)
12640xt "15000,47000,18100,48000"
12641st "CLK_25"
12642blo "15000,47800"
12643tm "WireNameMgr"
12644)
12645)
12646on &173
12647)
12648*398 (Wire
12649uid 5626,0
12650shape (OrthoPolyLine
12651uid 5627,0
12652va (VaSet
12653vasetType 3
12654)
12655xt "750,76000,18250,76000"
12656pts [
12657"18250,76000"
12658"750,76000"
12659]
12660)
12661start &45
12662end &248
12663sat 32
12664eat 32
12665st 0
12666sf 1
12667si 0
12668tg (WTG
12669uid 5630,0
12670ps "ConnStartEndStrategy"
12671stg "STSignalDisplayStrategy"
12672f (Text
12673uid 5631,0
12674va (VaSet
12675)
12676xt "11250,75000,18350,76000"
12677st "adc_data_array_int"
12678blo "11250,75800"
12679tm "WireNameMgr"
12680)
12681)
12682on &245
12683)
12684*399 (Wire
12685uid 5634,0
12686shape (OrthoPolyLine
12687uid 5635,0
12688va (VaSet
12689vasetType 3
12690lineWidth 2
12691)
12692xt "750,77000,18250,77000"
12693pts [
12694"18250,77000"
12695"750,77000"
12696]
12697)
12698start &38
12699end &249
12700sat 32
12701eat 32
12702sty 1
12703st 0
12704sf 1
12705si 0
12706tg (WTG
12707uid 5638,0
12708ps "ConnStartEndStrategy"
12709stg "STSignalDisplayStrategy"
12710f (Text
12711uid 5639,0
12712va (VaSet
12713)
12714xt "11250,76000,16750,77000"
12715st "adc_otr : (3:0)"
12716blo "11250,76800"
12717tm "WireNameMgr"
12718)
12719)
12720on &244
12721)
12722*400 (Wire
12723uid 5646,0
12724shape (OrthoPolyLine
12725uid 5647,0
12726va (VaSet
12727vasetType 3
12728lineColor "49152,0,0"
12729)
12730xt "-26000,74000,-18750,74000"
12731pts [
12732"-26000,74000"
12733"-18750,74000"
12734]
12735)
12736end &251
12737sat 16
12738eat 32
12739st 0
12740sf 1
12741si 0
12742tg (WTG
12743uid 5652,0
12744ps "ConnStartEndStrategy"
12745stg "STSignalDisplayStrategy"
12746f (Text
12747uid 5653,0
12748va (VaSet
12749)
12750xt "-25000,74000,-20500,75000"
12751st "CLK_25_PS"
12752blo "-25000,74800"
12753tm "WireNameMgr"
12754)
12755)
12756on &162
12757)
12758*401 (Wire
12759uid 5745,0
12760shape (OrthoPolyLine
12761uid 5746,0
12762va (VaSet
12763vasetType 3
12764)
12765xt "37750,87000,46000,105000"
12766pts [
12767"40750,87000"
12768"46000,87000"
12769"46000,105000"
12770"37750,105000"
12771]
12772)
12773start &54
12774end &260
12775sat 32
12776eat 32
12777st 0
12778sf 1
12779si 0
12780tg (WTG
12781uid 5749,0
12782ps "ConnStartEndStrategy"
12783stg "STSignalDisplayStrategy"
12784f (Text
12785uid 5750,0
12786va (VaSet
12787)
12788xt "39000,104000,45200,105000"
12789st "config_start_spi"
12790blo "39000,104800"
12791tm "WireNameMgr"
12792)
12793)
12794on &255
12795)
12796*402 (Wire
12797uid 5805,0
12798shape (OrthoPolyLine
12799uid 5806,0
12800va (VaSet
12801vasetType 3
12802)
12803xt "16000,101000,20250,101000"
12804pts [
12805"16000,101000"
12806"20250,101000"
12807]
12808)
12809end &265
12810sat 16
12811eat 32
12812st 0
12813sf 1
12814tg (WTG
12815uid 5809,0
12816ps "ConnStartEndStrategy"
12817stg "STSignalDisplayStrategy"
12818f (Text
12819uid 5810,0
12820va (VaSet
12821)
12822xt "17000,100000,20100,101000"
12823st "CLK_50"
12824blo "17000,100800"
12825tm "WireNameMgr"
12826)
12827)
12828on &164
12829)
12830*403 (Wire
12831uid 5813,0
12832shape (OrthoPolyLine
12833uid 5814,0
12834va (VaSet
12835vasetType 3
12836)
12837xt "12000,107000,20250,107000"
12838pts [
12839"20250,107000"
12840"12000,107000"
12841]
12842)
12843start &257
12844end &276
12845sat 32
12846eat 32
12847stc 0
12848st 0
12849sf 1
12850si 0
12851tg (WTG
12852uid 5817,0
12853ps "ConnStartEndStrategy"
12854stg "STSignalDisplayStrategy"
12855f (Text
12856uid 5818,0
12857va (VaSet
12858isHidden 1
12859)
12860xt "13000,106000,14700,107000"
12861st "sclk"
12862blo "13000,106800"
12863tm "WireNameMgr"
12864)
12865)
12866on &272
12867)
12868*404 (Wire
12869uid 5821,0
12870shape (OrthoPolyLine
12871uid 5822,0
12872va (VaSet
12873vasetType 3
12874)
12875xt "12000,108000,20250,108000"
12876pts [
12877"20250,108000"
12878"12000,108000"
12879]
12880)
12881start &268
12882end &277
12883sat 32
12884eat 32
12885stc 0
12886st 0
12887sf 1
12888si 0
12889tg (WTG
12890uid 5825,0
12891ps "ConnStartEndStrategy"
12892stg "STSignalDisplayStrategy"
12893f (Text
12894uid 5826,0
12895va (VaSet
12896isHidden 1
12897)
12898xt "13000,107000,14400,108000"
12899st "sio"
12900blo "13000,107800"
12901tm "WireNameMgr"
12902)
12903)
12904on &273
12905)
12906*405 (Wire
12907uid 5829,0
12908shape (OrthoPolyLine
12909uid 5830,0
12910va (VaSet
12911vasetType 3
12912)
12913xt "12000,105000,20250,105000"
12914pts [
12915"20250,105000"
12916"12000,105000"
12917]
12918)
12919start &263
12920end &278
12921sat 32
12922eat 32
12923stc 0
12924st 0
12925sf 1
12926si 0
12927tg (WTG
12928uid 5833,0
12929ps "ConnStartEndStrategy"
12930stg "STSignalDisplayStrategy"
12931f (Text
12932uid 5834,0
12933va (VaSet
12934isHidden 1
12935)
12936xt "13000,104000,15800,105000"
12937st "dac_cs"
12938blo "13000,104800"
12939tm "WireNameMgr"
12940)
12941)
12942on &274
12943)
12944*406 (Wire
12945uid 5837,0
12946shape (OrthoPolyLine
12947uid 5838,0
12948va (VaSet
12949vasetType 3
12950lineWidth 2
12951)
12952xt "12000,104000,20250,104000"
12953pts [
12954"20250,104000"
12955"12000,104000"
12956]
12957)
12958start &264
12959end &279
12960sat 32
12961eat 32
12962sty 1
12963stc 0
12964st 0
12965sf 1
12966si 0
12967tg (WTG
12968uid 5841,0
12969ps "ConnStartEndStrategy"
12970stg "STSignalDisplayStrategy"
12971f (Text
12972uid 5842,0
12973va (VaSet
12974isHidden 1
12975)
12976xt "13000,103000,16900,104000"
12977st "sensor_cs"
12978blo "13000,103800"
12979tm "WireNameMgr"
12980)
12981)
12982on &275
12983)
12984*407 (Wire
12985uid 5950,0
12986shape (OrthoPolyLine
12987uid 5951,0
12988va (VaSet
12989vasetType 3
12990)
12991xt "40750,54000,126250,60000"
12992pts [
12993"126250,60000"
12994"72000,60000"
12995"72000,54000"
12996"40750,54000"
12997]
12998)
12999start &92
13000end &56
13001sat 32
13002eat 32
13003st 0
13004sf 1
13005si 0
13006tg (WTG
13007uid 5952,0
13008ps "ConnStartEndStrategy"
13009stg "STSignalDisplayStrategy"
13010f (Text
13011uid 5953,0
13012va (VaSet
13013)
13014xt "120250,59000,124850,60000"
13015st "new_config"
13016blo "120250,59800"
13017tm "WireNameMgr"
13018)
13019)
13020on &280
13021)
13022*408 (Wire
13023uid 5962,0
13024shape (OrthoPolyLine
13025uid 5963,0
13026va (VaSet
13027vasetType 3
13028)
13029xt "40750,55000,126250,61000"
13030pts [
13031"126250,61000"
13032"71000,61000"
13033"71000,55000"
13034"40750,55000"
13035]
13036)
13037start &90
13038end &55
13039sat 32
13040eat 32
13041st 0
13042sf 1
13043si 0
13044tg (WTG
13045uid 5964,0
13046ps "ConnStartEndStrategy"
13047stg "STSignalDisplayStrategy"
13048f (Text
13049uid 5965,0
13050va (VaSet
13051)
13052xt "119250,60000,124850,61000"
13053st "config_started"
13054blo "119250,60800"
13055tm "WireNameMgr"
13056)
13057)
13058on &281
13059)
13060*409 (Wire
13061uid 6002,0
13062shape (OrthoPolyLine
13063uid 6003,0
13064va (VaSet
13065vasetType 3
13066)
13067xt "40750,82000,73250,104000"
13068pts [
13069"73250,104000"
13070"56000,104000"
13071"56000,82000"
13072"40750,82000"
13073]
13074)
13075start &226
13076end &57
13077sat 32
13078eat 32
13079st 0
13080sf 1
13081si 0
13082tg (WTG
13083uid 6004,0
13084ps "ConnStartEndStrategy"
13085stg "STSignalDisplayStrategy"
13086f (Text
13087uid 6005,0
13088va (VaSet
13089)
13090xt "66250,103000,73050,104000"
13091st "config_started_cu"
13092blo "66250,103800"
13093tm "WireNameMgr"
13094)
13095)
13096on &283
13097)
13098*410 (Wire
13099uid 6008,0
13100shape (OrthoPolyLine
13101uid 6009,0
13102va (VaSet
13103vasetType 3
13104)
13105xt "37750,86000,47000,106000"
13106pts [
13107"37750,106000"
13108"47000,106000"
13109"47000,86000"
13110"40750,86000"
13111]
13112)
13113start &266
13114end &59
13115sat 32
13116eat 32
13117st 0
13118sf 1
13119si 0
13120tg (WTG
13121uid 6010,0
13122ps "ConnStartEndStrategy"
13123stg "STSignalDisplayStrategy"
13124f (Text
13125uid 6011,0
13126va (VaSet
13127)
13128xt "39000,105000,46000,106000"
13129st "config_started_spi"
13130blo "39000,105800"
13131tm "WireNameMgr"
13132)
13133)
13134on &282
13135)
13136*411 (Wire
13137uid 6018,0
13138shape (OrthoPolyLine
13139uid 6019,0
13140va (VaSet
13141vasetType 3
13142)
13143xt "40750,71000,71250,76000"
13144pts [
13145"40750,71000"
13146"63000,71000"
13147"63000,76000"
13148"71250,76000"
13149]
13150)
13151start &58
13152end &127
13153sat 32
13154eat 32
13155st 0
13156sf 1
13157si 0
13158tg (WTG
13159uid 6020,0
13160ps "ConnStartEndStrategy"
13161stg "STSignalDisplayStrategy"
13162f (Text
13163uid 6021,0
13164va (VaSet
13165)
13166xt "41000,70000,48200,71000"
13167st "config_started_mm"
13168blo "41000,70800"
13169tm "WireNameMgr"
13170)
13171)
13172on &284
13173)
13174*412 (Wire
13175uid 6064,0
13176shape (OrthoPolyLine
13177uid 6065,0
13178va (VaSet
13179vasetType 3
13180)
13181xt "40750,79000,47000,79000"
13182pts [
13183"47000,79000"
13184"40750,79000"
13185]
13186)
13187end &60
13188sat 16
13189eat 32
13190st 0
13191sf 1
13192si 0
13193tg (WTG
13194uid 6068,0
13195ps "ConnStartEndStrategy"
13196stg "STSignalDisplayStrategy"
13197f (Text
13198uid 6069,0
13199va (VaSet
13200)
13201xt "42000,78000,45700,79000"
13202st "dac_array"
13203blo "42000,78800"
13204tm "WireNameMgr"
13205)
13206)
13207on &236
13208)
13209*413 (Wire
13210uid 6072,0
13211shape (OrthoPolyLine
13212uid 6073,0
13213va (VaSet
13214vasetType 3
13215lineColor "0,32896,0"
13216)
13217xt "-1250,21000,15000,38000"
13218pts [
13219"-1250,21000"
13220"15000,21000"
13221"15000,38000"
13222]
13223)
13224start &167
13225end &374
13226sat 32
13227eat 32
13228stc 0
13229st 0
13230sf 1
13231si 0
13232tg (WTG
13233uid 6074,0
13234ps "ConnStartEndStrategy"
13235stg "STSignalDisplayStrategy"
13236f (Text
13237uid 6075,0
13238va (VaSet
13239)
13240xt "750,20000,3850,21000"
13241st "CLK_25"
13242blo "750,20800"
13243tm "WireNameMgr"
13244)
13245)
13246on &173
13247)
13248*414 (Wire
13249uid 6082,0
13250shape (OrthoPolyLine
13251uid 6083,0
13252va (VaSet
13253vasetType 3
13254lineColor "0,32896,0"
13255)
13256xt "68000,44000,71250,68000"
13257pts [
13258"71250,68000"
13259"68000,68000"
13260"68000,44000"
13261]
13262)
13263start &112
13264end &397
13265sat 32
13266eat 32
13267stc 0
13268st 0
13269sf 1
13270si 0
13271tg (WTG
13272uid 6084,0
13273ps "ConnStartEndStrategy"
13274stg "STSignalDisplayStrategy"
13275f (Text
13276uid 6085,0
13277va (VaSet
13278)
13279xt "68000,67000,71100,68000"
13280st "CLK_25"
13281blo "68000,67800"
13282tm "WireNameMgr"
13283)
13284)
13285on &173
13286)
13287*415 (Wire
13288uid 6160,0
13289shape (OrthoPolyLine
13290uid 6161,0
13291va (VaSet
13292vasetType 3
13293)
13294xt "12000,109000,20250,109000"
13295pts [
13296"20250,109000"
13297"12000,109000"
13298]
13299)
13300start &267
13301end &286
13302sat 32
13303eat 32
13304stc 0
13305st 0
13306sf 1
13307si 0
13308tg (WTG
13309uid 6164,0
13310ps "ConnStartEndStrategy"
13311stg "STSignalDisplayStrategy"
13312f (Text
13313uid 6165,0
13314va (VaSet
13315isHidden 1
13316)
13317xt "13000,108000,15000,109000"
13318st "mosi"
13319blo "13000,108800"
13320tm "WireNameMgr"
13321)
13322)
13323on &285
13324)
13325*416 (Wire
13326uid 6276,0
13327shape (OrthoPolyLine
13328uid 6277,0
13329va (VaSet
13330vasetType 3
13331)
13332xt "-23000,63000,-18750,63000"
13333pts [
13334"-23000,63000"
13335"-18750,63000"
13336]
13337)
13338end &104
13339sat 16
13340eat 32
13341st 0
13342sf 1
13343tg (WTG
13344uid 6280,0
13345ps "ConnStartEndStrategy"
13346stg "STSignalDisplayStrategy"
13347f (Text
13348uid 6281,0
13349va (VaSet
13350)
13351xt "-22000,62000,-17500,63000"
13352st "CLK_25_PS"
13353blo "-22000,62800"
13354tm "WireNameMgr"
13355)
13356)
13357on &162
13358)
13359*417 (Wire
13360uid 6362,0
13361shape (OrthoPolyLine
13362uid 6363,0
13363va (VaSet
13364vasetType 3
13365)
13366xt "148750,75000,153000,75000"
13367pts [
13368"148750,75000"
13369"153000,75000"
13370]
13371)
13372start &94
13373end &288
13374sat 32
13375eat 32
13376stc 0
13377st 0
13378sf 1
13379si 0
13380tg (WTG
13381uid 6366,0
13382ps "ConnStartEndStrategy"
13383stg "STSignalDisplayStrategy"
13384f (Text
13385uid 6367,0
13386va (VaSet
13387isHidden 1
13388)
13389xt "150000,74000,153000,75000"
13390st "denable"
13391blo "150000,74800"
13392tm "WireNameMgr"
13393)
13394)
13395on &287
13396)
13397*418 (Wire
13398uid 6452,0
13399shape (OrthoPolyLine
13400uid 6453,0
13401va (VaSet
13402vasetType 3
13403)
13404xt "148750,76000,153000,76000"
13405pts [
13406"148750,76000"
13407"153000,76000"
13408]
13409)
13410start &95
13411sat 32
13412eat 16
13413stc 0
13414st 0
13415sf 1
13416si 0
13417tg (WTG
13418uid 6456,0
13419ps "ConnStartEndStrategy"
13420stg "STSignalDisplayStrategy"
13421f (Text
13422uid 6457,0
13423va (VaSet
13424isHidden 1
13425)
13426xt "150000,75000,155400,76000"
13427st "dwrite_enable"
13428blo "150000,75800"
13429tm "WireNameMgr"
13430)
13431)
13432on &289
13433)
13434*419 (Wire
13435uid 6540,0
13436shape (OrthoPolyLine
13437uid 6541,0
13438va (VaSet
13439vasetType 3
13440)
13441xt "7000,59000,18250,59000"
13442pts [
13443"7000,59000"
13444"182