source: FPGA/FTU/clock/FTU_clk_gen.vhd@ 408

Last change on this file since 408 was 273, checked in by qweitzel, 14 years ago
new structure for FTU firmware, not yet finished
File size: 1.6 KB
Line 
1----------------------------------------------------------------------------------
2-- Company: ETH Zurich, Institute for Particle Physics
3-- Engineer: Q. Weitzel
4--
5-- Create Date: 14:09:39 07/12/2010
6-- Design Name:
7-- Module Name: FTU_clk_gen - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: interface to different DCMs and clk dividers for FTU board
12-- add here more DCMs if needed
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25
26---- Uncomment the following library declaration if instantiating
27---- any Xilinx primitives in this code.
28--library UNISIM;
29--use UNISIM.VComponents.all;
30
31entity FTU_clk_gen is
32 Port (
33 clk : IN STD_LOGIC;
34 rst : IN STD_LOGIC;
35 clk_50 : OUT STD_LOGIC;
36 ready : OUT STD_LOGIC
37 );
38end FTU_clk_gen;
39
40architecture Behavioral of FTU_clk_gen is
41
42 component FTU_dcm_50M_to_50M
43 port (
44 CLKIN_IN : in std_logic;
45 RST_IN : in std_logic;
46 CLKFX_OUT : out std_logic;
47 CLKIN_IBUFG_OUT : out std_logic;
48 LOCKED_OUT : out std_logic);
49 end component;
50
51begin
52
53 Inst_FTU_dcm_50M_to_50M : FTU_dcm_50M_to_50M
54 port map(
55 CLKIN_IN => clk,
56 RST_IN => rst,
57 CLKFX_OUT => clk_50,
58 CLKIN_IBUFG_OUT => open,
59 LOCKED_OUT => ready
60 );
61
62end Behavioral;
63
Note: See TracBrowser for help on using the repository browser.