source: FPGA/FTU/clock@ 827

Name Size Rev Age Author Last Change
../
FTU_clk_gen.vhd 1.6 KB 273   14 years qweitzel new structure for FTU firmware, not yet finished
FTU_dcm_50M_to_50M.vhd 2.9 KB 273   14 years qweitzel new structure for FTU firmware, not yet finished
FTU_dcm_50M_to_50M.xaw 2.8 KB 273   14 years qweitzel new structure for FTU firmware, not yet finished
FTU_dcm_50M_to_50M_arwz.ucf 713 bytes 273   14 years qweitzel new structure for FTU firmware, not yet finished
xaw2vhdl.log 33 bytes 273   14 years qweitzel new structure for FTU firmware, not yet finished
Note: See TracBrowser for help on using the repository browser.