source: FPGA/FTU/old_design/spi_interface@ 408

Name Size Rev Age Author Last Change
../
sck_logic_16.vhd 8.4 KB 156   15 years qweitzel First check-in of VHDL code for FTU: counters, dcm, spi
spi_control_sm_16.vhd 17.0 KB 156   15 years qweitzel First check-in of VHDL code for FTU: counters, dcm, spi
spi_interface_16.vhd 9.1 KB 156   15 years qweitzel First check-in of VHDL code for FTU: counters, dcm, spi
spi_rcv_shift_reg_16.vhd 6.5 KB 156   15 years qweitzel First check-in of VHDL code for FTU: counters, dcm, spi
spi_xmit_shift_reg_16.vhd 3.8 KB 156   15 years qweitzel First check-in of VHDL code for FTU: counters, dcm, spi
Note: See TracBrowser for help on using the repository browser.