source: FPGA/FTU/ram/FTU_dual_port_ram.veo@ 408

Last change on this file since 408 was 273, checked in by qweitzel, 14 years ago
new structure for FTU firmware, not yet finished
File size: 3.1 KB
Line 
1/*******************************************************************************
2* This file is owned and controlled by Xilinx and must be used *
3* solely for design, simulation, implementation and creation of *
4* design files limited to Xilinx devices or technologies. Use *
5* with non-Xilinx devices or technologies is expressly prohibited *
6* and immediately terminates your license. *
7* *
8* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" *
9* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR *
10* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION *
11* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION *
12* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS *
13* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, *
14* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE *
15* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY *
16* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
17* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
18* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
19* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS *
20* FOR A PARTICULAR PURPOSE. *
21* *
22* Xilinx products are not intended for use in life support *
23* appliances, devices, or systems. Use in such applications are *
24* expressly prohibited. *
25* *
26* (c) Copyright 1995-2009 Xilinx, Inc. *
27* All rights reserved. *
28*******************************************************************************/
29// The following must be inserted into your Verilog file for this
30// core to be instantiated. Change the instance name and port connections
31// (in parentheses) to your own signal names.
32
33//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
34FTU_dual_port_ram YourInstanceName (
35 .clka(clka),
36 .ena(ena),
37 .wea(wea), // Bus [0 : 0]
38 .addra(addra), // Bus [4 : 0]
39 .dina(dina), // Bus [7 : 0]
40 .douta(douta), // Bus [7 : 0]
41 .clkb(clkb),
42 .enb(enb),
43 .web(web), // Bus [0 : 0]
44 .addrb(addrb), // Bus [3 : 0]
45 .dinb(dinb), // Bus [15 : 0]
46 .doutb(doutb)); // Bus [15 : 0]
47
48// INST_TAG_END ------ End INSTANTIATION Template ---------
49
50// You must compile the wrapper file FTU_dual_port_ram.v when simulating
51// the core, FTU_dual_port_ram. When compiling the wrapper file, be sure to
52// reference the XilinxCoreLib Verilog simulation library. For detailed
53// instructions, please refer to the "CORE Generator Help".
54
Note: See TracBrowser for help on using the repository browser.