source: FPGA/FTU/ram/FTU_dual_port_ram.vho@ 827

Last change on this file since 827 was 273, checked in by qweitzel, 14 years ago
new structure for FTU firmware, not yet finished
File size: 3.8 KB
Line 
1--------------------------------------------------------------------------------
2-- This file is owned and controlled by Xilinx and must be used --
3-- solely for design, simulation, implementation and creation of --
4-- design files limited to Xilinx devices or technologies. Use --
5-- with non-Xilinx devices or technologies is expressly prohibited --
6-- and immediately terminates your license. --
7-- --
8-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
9-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
10-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
11-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
12-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
13-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
14-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
15-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
16-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
17-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
18-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
19-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
20-- FOR A PARTICULAR PURPOSE. --
21-- --
22-- Xilinx products are not intended for use in life support --
23-- appliances, devices, or systems. Use in such applications are --
24-- expressly prohibited. --
25-- --
26-- (c) Copyright 1995-2009 Xilinx, Inc. --
27-- All rights reserved. --
28--------------------------------------------------------------------------------
29-- The following code must appear in the VHDL architecture header:
30
31------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
32component FTU_dual_port_ram
33 port (
34 clka: IN std_logic;
35 ena: IN std_logic;
36 wea: IN std_logic_VECTOR(0 downto 0);
37 addra: IN std_logic_VECTOR(4 downto 0);
38 dina: IN std_logic_VECTOR(7 downto 0);
39 douta: OUT std_logic_VECTOR(7 downto 0);
40 clkb: IN std_logic;
41 enb: IN std_logic;
42 web: IN std_logic_VECTOR(0 downto 0);
43 addrb: IN std_logic_VECTOR(3 downto 0);
44 dinb: IN std_logic_VECTOR(15 downto 0);
45 doutb: OUT std_logic_VECTOR(15 downto 0));
46end component;
47
48-- Synplicity black box declaration
49attribute syn_black_box : boolean;
50attribute syn_black_box of FTU_dual_port_ram: component is true;
51
52-- COMP_TAG_END ------ End COMPONENT Declaration ------------
53
54-- The following code must appear in the VHDL architecture
55-- body. Substitute your own instance name and net names.
56
57------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
58your_instance_name : FTU_dual_port_ram
59 port map (
60 clka => clka,
61 ena => ena,
62 wea => wea,
63 addra => addra,
64 dina => dina,
65 douta => douta,
66 clkb => clkb,
67 enb => enb,
68 web => web,
69 addrb => addrb,
70 dinb => dinb,
71 doutb => doutb);
72-- INST_TAG_END ------ End INSTANTIATION Template ------------
73
74-- You must compile the wrapper file FTU_dual_port_ram.vhd when simulating
75-- the core, FTU_dual_port_ram. When compiling the wrapper file, be sure to
76-- reference the XilinxCoreLib VHDL simulation library. For detailed
77-- instructions, please refer to the "CORE Generator Help".
78
Note: See TracBrowser for help on using the repository browser.