---------------------------------------------------------------------------------- -- Company: ETH Zurich, Institute for Particle Physics -- Engineer: P. Vogler, Q. Weitzel -- -- Create Date: 05/07/2010 -- Design Name: -- Module Name: FTU_test2 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: Test firmware for FTU board, set thresholds to some value -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity FTU_test2 is port( -- global control ext_clk : IN STD_LOGIC; -- external clock from FTU board brd_add : IN STD_LOGIC_VECTOR(5 downto 0); -- geographic board/slot address brd_id : in STD_LOGIC_VECTOR(7 downto 0); -- local solder-programmable board ID -- rate counters LVDS inputs -- use IBUFDS differential input buffer patch_A_p : IN STD_LOGIC; -- logic signal from first trigger patch patch_A_n : IN STD_LOGIC; patch_B_p : IN STD_LOGIC; -- logic signal from second trigger patch patch_B_n : IN STD_LOGIC; patch_C_p : IN STD_LOGIC; -- logic signal from third trigger patch patch_C_n : IN STD_LOGIC; patch_D_p : IN STD_LOGIC; -- logic signal from fourth trigger patch patch_D_n : IN STD_LOGIC; trig_prim_p : IN STD_LOGIC; -- logic signal from n-out-of-4 circuit trig_prim_n : IN STD_LOGIC; -- DAC interface sck : OUT STD_LOGIC; -- serial clock to DAC mosi : OUT STD_LOGIC; -- serial data to DAC, master-out-slave-in clr : OUT STD_LOGIC; -- clear signal to DAC cs_ld : OUT STD_LOGIC; -- chip select or load to DAC -- RS-485 interface to FTM rx : IN STD_LOGIC; -- serial data from FTM tx : OUT STD_LOGIC; -- serial data to FTM rx_en : OUT STD_LOGIC; -- enable RS-485 receiver tx_en : OUT STD_LOGIC; -- enable RS-485 transmitter -- analog buffer enable enables_A : OUT STD_LOGIC_VECTOR(8 downto 0); -- individual enables for analog inputs enables_B : OUT STD_LOGIC_VECTOR(8 downto 0); -- individual enables for analog inputs enables_C : OUT STD_LOGIC_VECTOR(8 downto 0); -- individual enables for analog inputs enables_D : OUT STD_LOGIC_VECTOR(8 downto 0); -- individual enables for analog inputs -- testpoints TP_A : out STD_LOGIC_VECTOR(11 downto 0) -- testpoints ); end FTU_test2; architecture Behavioral of FTU_test2 is component FTU_test2_dac_dcm port( CLKIN_IN : IN STD_LOGIC; RST_IN : IN STD_LOGIC; CLKFX_OUT : OUT STD_LOGIC; CLKIN_IBUFG_OUT : OUT STD_LOGIC; LOCKED_OUT : OUT STD_LOGIC ); end component; component FTU_test2_dac_control port( clk : IN STD_LOGIC; reset : IN STD_LOGIC; clr : OUT STD_LOGIC; mosi : OUT STD_LOGIC; sck : OUT STD_LOGIC; cs_ld : OUT STD_LOGIC; enable1 : out STD_LOGIC; enable2 : out STD_LOGIC; enable3 : out STD_LOGIC ); end component; signal reset_sig : STD_LOGIC := '0'; -- initialize reset to 0 at power up signal clk_50M_sig : STD_LOGIC; type FTU_test2_StateType is (Running); signal FTU_test2_State, FTU_test2_NextState: FTU_test2_StateType; begin Inst_FTU_test2_dac_dcm : FTU_test2_dac_dcm port map( CLKIN_IN => ext_clk, RST_IN => reset_sig, CLKFX_OUT => clk_50M_sig, CLKIN_IBUFG_OUT => open, LOCKED_OUT => open ); Inst_FTU_test2_dac_control : FTU_test2_dac_control port map( clk => clk_50M_sig, reset => reset_sig, clr => clr, mosi => mosi, sck => sck, cs_ld => cs_ld, enable1 => enables_A(1), enable2 => enables_A(2), enable3 => enables_A(3) ); --FTU main state machine (two-process implementation) FTU_test2_Registers: process (ext_clk) begin if Rising_edge(ext_clk) then FTU_test2_State <= FTU_test2_NextState; end if; end process FTU_test2_Registers; FTU_test2_C_logic: process (FTU_test2_State) begin FTU_test2_NextState <= FTU_test2_State; case FTU_test2_State is when Running => reset_sig <= '0'; enables_A(0) <= '1'; end case; end process FTU_test2_C_logic; end Behavioral;