source: FPGA/FTU/test_firmware/FTU_test2/ftu_definitions.vhd@ 408

Last change on this file since 408 was 236, checked in by qweitzel, 14 years ago
second test for FTU added
File size: 257 bytes
Line 
1library IEEE;
2use IEEE.STD_LOGIC_1164.all;
3use IEEE.STD_LOGIC_ARITH.ALL;
4use IEEE.STD_LOGIC_UNSIGNED.ALL;
5-- use IEEE.NUMERIC_STD.ALL;
6
7package ftu_array_types is
8 type dac_array_type is array (0 to 4) of integer range 0 to 2**12 - 1;
9end ftu_array_types;
Note: See TracBrowser for help on using the repository browser.