source: FPGA/FTU@ 215

Name Size Rev Age Author Last Change
../
counter 156   15 years qweitzel First check-in of VHDL code for FTU: counters, dcm, spi
ip_cores 156   15 years qweitzel First check-in of VHDL code for FTU: counters, dcm, spi
spi_interface 156   15 years qweitzel First check-in of VHDL code for FTU: counters, dcm, spi
test_firmware 206   14 years qweitzel added a first test firmware for the FTU board
FTU_dac_control.vhd 5.6 KB 156   15 years qweitzel First check-in of VHDL code for FTU: counters, dcm, spi
FTU_top.vhd 4.9 KB 207   14 years qweitzel updated FTU_top entity
FTU_top_tb.vhd 5.7 KB 207   14 years qweitzel updated FTU_top entity
Note: See TracBrowser for help on using the repository browser.