source: firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/@f@a@d_@board/symbol.sb@ 10121

Last change on this file since 10121 was 10121, checked in by neise, 14 years ago
synchronous trigger handling added continous soft trigger generation. ---> control frequency via 'send 0x21??' each step increases trigger delay by 12.5ms 0x2100 = 40Hz 0x21FF = 0.3Hz
File size: 63.9 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13]
14libraryRefs [
15"ieee"
16]
17)
18version "24.1"
19appVersion "2009.1 (Build 12)"
20model (Symbol
21commonDM (CommonDM
22ldm (LogicalDM
23suid 81,0
24usingSuid 1
25emptyRow *1 (LEmptyRow
26)
27uid 53,0
28optionalChildren [
29*2 (RefLabelRowHdr
30)
31*3 (TitleRowHdr
32)
33*4 (FilterRowHdr
34)
35*5 (RefLabelColHdr
36tm "RefLabelColHdrMgr"
37)
38*6 (RowExpandColHdr
39tm "RowExpandColHdrMgr"
40)
41*7 (GroupColHdr
42tm "GroupColHdrMgr"
43)
44*8 (NameColHdr
45tm "NameColHdrMgr"
46)
47*9 (ModeColHdr
48tm "ModeColHdrMgr"
49)
50*10 (TypeColHdr
51tm "TypeColHdrMgr"
52)
53*11 (BoundsColHdr
54tm "BoundsColHdrMgr"
55)
56*12 (InitColHdr
57tm "InitColHdrMgr"
58)
59*13 (EolColHdr
60tm "EolColHdrMgr"
61)
62*14 (LogPort
63port (LogicalPort
64m 1
65decl (Decl
66n "RSRLOAD"
67t "std_logic"
68o 43
69suid 11,0
70i "'0'"
71)
72)
73uid 690,0
74)
75*15 (LogPort
76port (LogicalPort
77decl (Decl
78n "X_50M"
79t "STD_LOGIC"
80preAdd 0
81posAdd 0
82o 18
83suid 15,0
84)
85)
86uid 1111,0
87)
88*16 (LogPort
89port (LogicalPort
90decl (Decl
91n "TRG"
92t "STD_LOGIC"
93o 16
94suid 16,0
95)
96)
97uid 1113,0
98)
99*17 (LogPort
100port (LogicalPort
101m 1
102decl (Decl
103n "A_CLK"
104t "std_logic_vector"
105b "(3 downto 0)"
106o 22
107suid 17,0
108)
109)
110uid 1115,0
111)
112*18 (LogPort
113port (LogicalPort
114m 1
115decl (Decl
116n "OE_ADC"
117t "STD_LOGIC"
118preAdd 0
119posAdd 0
120o 36
121suid 18,0
122)
123)
124uid 1155,0
125)
126*19 (LogPort
127port (LogicalPort
128decl (Decl
129n "A_OTR"
130t "std_logic_vector"
131b "(3 DOWNTO 0)"
132o 5
133suid 19,0
134)
135)
136uid 1157,0
137)
138*20 (LogPort
139port (LogicalPort
140decl (Decl
141n "A0_D"
142t "std_logic_vector"
143b "(11 DOWNTO 0)"
144o 1
145suid 20,0
146)
147)
148uid 1159,0
149)
150*21 (LogPort
151port (LogicalPort
152decl (Decl
153n "A1_D"
154t "std_logic_vector"
155b "(11 DOWNTO 0)"
156o 2
157suid 21,0
158)
159)
160uid 1161,0
161)
162*22 (LogPort
163port (LogicalPort
164decl (Decl
165n "A2_D"
166t "std_logic_vector"
167b "(11 DOWNTO 0)"
168o 3
169suid 22,0
170)
171)
172uid 1163,0
173)
174*23 (LogPort
175port (LogicalPort
176decl (Decl
177n "A3_D"
178t "std_logic_vector"
179b "(11 DOWNTO 0)"
180o 4
181suid 23,0
182)
183)
184uid 1165,0
185)
186*24 (LogPort
187port (LogicalPort
188m 1
189decl (Decl
190n "D0_SRCLK"
191t "STD_LOGIC"
192o 23
193suid 24,0
194)
195)
196uid 1220,0
197)
198*25 (LogPort
199port (LogicalPort
200m 1
201decl (Decl
202n "D1_SRCLK"
203t "STD_LOGIC"
204o 24
205suid 25,0
206)
207)
208uid 1222,0
209)
210*26 (LogPort
211port (LogicalPort
212m 1
213decl (Decl
214n "D2_SRCLK"
215t "STD_LOGIC"
216o 25
217suid 26,0
218)
219)
220uid 1224,0
221)
222*27 (LogPort
223port (LogicalPort
224m 1
225decl (Decl
226n "D3_SRCLK"
227t "STD_LOGIC"
228o 26
229suid 27,0
230)
231)
232uid 1226,0
233)
234*28 (LogPort
235port (LogicalPort
236decl (Decl
237n "D0_SROUT"
238t "std_logic"
239o 6
240suid 28,0
241)
242)
243uid 1271,0
244)
245*29 (LogPort
246port (LogicalPort
247decl (Decl
248n "D1_SROUT"
249t "std_logic"
250o 7
251suid 29,0
252)
253)
254uid 1273,0
255)
256*30 (LogPort
257port (LogicalPort
258decl (Decl
259n "D2_SROUT"
260t "std_logic"
261o 8
262suid 30,0
263)
264)
265uid 1275,0
266)
267*31 (LogPort
268port (LogicalPort
269decl (Decl
270n "D3_SROUT"
271t "std_logic"
272o 9
273suid 31,0
274)
275)
276uid 1277,0
277)
278*32 (LogPort
279port (LogicalPort
280m 1
281decl (Decl
282n "D_A"
283t "std_logic_vector"
284b "(3 DOWNTO 0)"
285o 30
286suid 32,0
287i "(others => '0')"
288)
289)
290uid 1279,0
291)
292*33 (LogPort
293port (LogicalPort
294m 1
295decl (Decl
296n "DWRITE"
297t "std_logic"
298o 29
299suid 33,0
300i "'0'"
301)
302)
303uid 1281,0
304)
305*34 (LogPort
306port (LogicalPort
307m 1
308decl (Decl
309n "DAC_CS"
310t "std_logic"
311o 27
312suid 34,0
313)
314)
315uid 1338,0
316)
317*35 (LogPort
318port (LogicalPort
319m 1
320decl (Decl
321n "T0_CS"
322t "std_logic"
323o 46
324suid 35,0
325)
326)
327uid 1340,0
328)
329*36 (LogPort
330port (LogicalPort
331m 1
332decl (Decl
333n "T1_CS"
334t "std_logic"
335o 47
336suid 36,0
337)
338)
339uid 1342,0
340)
341*37 (LogPort
342port (LogicalPort
343m 1
344decl (Decl
345n "T2_CS"
346t "std_logic"
347o 48
348suid 37,0
349)
350)
351uid 1344,0
352)
353*38 (LogPort
354port (LogicalPort
355m 1
356decl (Decl
357n "T3_CS"
358t "std_logic"
359o 49
360suid 38,0
361)
362)
363uid 1346,0
364)
365*39 (LogPort
366port (LogicalPort
367m 1
368decl (Decl
369n "S_CLK"
370t "std_logic"
371o 45
372suid 39,0
373)
374)
375uid 1348,0
376)
377*40 (LogPort
378port (LogicalPort
379m 1
380decl (Decl
381n "W_A"
382t "std_logic_vector"
383b "(9 DOWNTO 0)"
384o 51
385suid 40,0
386)
387)
388uid 1350,0
389)
390*41 (LogPort
391port (LogicalPort
392m 2
393decl (Decl
394n "W_D"
395t "std_logic_vector"
396b "(15 DOWNTO 0)"
397o 57
398suid 41,0
399)
400)
401uid 1352,0
402)
403*42 (LogPort
404port (LogicalPort
405m 1
406decl (Decl
407n "W_RES"
408t "std_logic"
409o 54
410suid 42,0
411i "'1'"
412)
413)
414uid 1354,0
415)
416*43 (LogPort
417port (LogicalPort
418m 1
419decl (Decl
420n "W_RD"
421t "std_logic"
422o 53
423suid 43,0
424i "'1'"
425)
426)
427uid 1356,0
428)
429*44 (LogPort
430port (LogicalPort
431m 1
432decl (Decl
433n "W_WR"
434t "std_logic"
435o 55
436suid 44,0
437i "'1'"
438)
439)
440uid 1358,0
441)
442*45 (LogPort
443port (LogicalPort
444decl (Decl
445n "W_INT"
446t "std_logic"
447o 17
448suid 45,0
449)
450)
451uid 1360,0
452)
453*46 (LogPort
454port (LogicalPort
455m 1
456decl (Decl
457n "W_CS"
458t "std_logic"
459o 52
460suid 46,0
461i "'1'"
462)
463)
464uid 1362,0
465)
466*47 (LogPort
467port (LogicalPort
468m 1
469decl (Decl
470n "MOSI"
471t "std_logic"
472o 35
473suid 47,0
474i "'0'"
475)
476)
477uid 1617,0
478)
479*48 (LogPort
480port (LogicalPort
481m 2
482decl (Decl
483n "MISO"
484t "std_logic"
485preAdd 0
486posAdd 0
487o 56
488suid 48,0
489)
490)
491uid 1619,0
492)
493*49 (LogPort
494port (LogicalPort
495m 1
496decl (Decl
497n "TRG_V"
498t "std_logic"
499o 50
500suid 49,0
501)
502)
503uid 1655,0
504)
505*50 (LogPort
506port (LogicalPort
507m 1
508decl (Decl
509n "RS485_C_RE"
510t "std_logic"
511o 40
512suid 50,0
513)
514)
515uid 1657,0
516)
517*51 (LogPort
518port (LogicalPort
519m 1
520decl (Decl
521n "RS485_C_DE"
522t "std_logic"
523o 38
524suid 51,0
525)
526)
527uid 1659,0
528)
529*52 (LogPort
530port (LogicalPort
531m 1
532decl (Decl
533n "RS485_E_RE"
534t "std_logic"
535o 42
536suid 52,0
537)
538)
539uid 1661,0
540)
541*53 (LogPort
542port (LogicalPort
543m 1
544decl (Decl
545n "RS485_E_DE"
546t "std_logic"
547o 41
548suid 53,0
549)
550)
551uid 1663,0
552)
553*54 (LogPort
554port (LogicalPort
555m 1
556decl (Decl
557n "DENABLE"
558t "std_logic"
559o 28
560suid 54,0
561i "'0'"
562)
563)
564uid 1665,0
565)
566*55 (LogPort
567port (LogicalPort
568m 1
569decl (Decl
570n "SRIN"
571t "std_logic"
572o 44
573suid 55,0
574i "'0'"
575)
576)
577uid 1667,0
578)
579*56 (LogPort
580port (LogicalPort
581m 1
582decl (Decl
583n "EE_CS"
584t "std_logic"
585o 33
586suid 56,0
587)
588)
589uid 1669,0
590)
591*57 (LogPort
592port (LogicalPort
593m 1
594decl (Decl
595n "D_T"
596t "std_logic_vector"
597b "(7 DOWNTO 0)"
598o 31
599suid 61,0
600i "(OTHERS => '0')"
601)
602)
603uid 2067,0
604)
605*58 (LogPort
606port (LogicalPort
607decl (Decl
608n "D_PLLLCK"
609t "std_logic_vector"
610b "(3 DOWNTO 0)"
611o 10
612suid 64,0
613)
614)
615uid 2918,0
616)
617*59 (LogPort
618port (LogicalPort
619m 1
620decl (Decl
621n "D_T2"
622t "std_logic_vector"
623b "(3 DOWNTO 0)"
624o 32
625suid 65,0
626i "(others => '0')"
627)
628)
629uid 2948,0
630)
631*60 (LogPort
632port (LogicalPort
633m 1
634decl (Decl
635n "A1_T"
636t "std_logic_vector"
637b "(7 DOWNTO 0)"
638o 20
639suid 66,0
640i "(OTHERS => '0')"
641)
642)
643uid 3025,0
644)
645*61 (LogPort
646port (LogicalPort
647m 1
648decl (Decl
649n "A0_T"
650t "std_logic_vector"
651b "(7 DOWNTO 0)"
652o 19
653suid 68,0
654i "(others => '0')"
655)
656)
657uid 3455,0
658)
659*62 (LogPort
660port (LogicalPort
661decl (Decl
662n "RS485_C_DI"
663t "std_logic"
664o 13
665suid 69,0
666)
667)
668uid 3578,0
669)
670*63 (LogPort
671port (LogicalPort
672m 1
673decl (Decl
674n "RS485_C_DO"
675t "std_logic"
676o 39
677suid 70,0
678)
679)
680uid 3580,0
681)
682*64 (LogPort
683port (LogicalPort
684decl (Decl
685n "RS485_E_DI"
686t "std_logic"
687o 14
688suid 71,0
689)
690)
691uid 3684,0
692)
693*65 (LogPort
694port (LogicalPort
695decl (Decl
696n "RS485_E_DO"
697t "std_logic"
698o 15
699suid 72,0
700)
701)
702uid 3686,0
703)
704*66 (LogPort
705port (LogicalPort
706m 1
707decl (Decl
708n "AMBER_LED"
709t "std_logic"
710o 21
711suid 77,0
712)
713)
714uid 4028,0
715)
716*67 (LogPort
717port (LogicalPort
718m 1
719decl (Decl
720n "GREEN_LED"
721t "std_logic"
722o 34
723suid 78,0
724)
725)
726uid 4030,0
727)
728*68 (LogPort
729port (LogicalPort
730m 1
731decl (Decl
732n "RED_LED"
733t "std_logic"
734o 37
735suid 79,0
736)
737)
738uid 4032,0
739)
740*69 (LogPort
741port (LogicalPort
742decl (Decl
743n "POSITION_ID"
744t "std_logic_vector"
745b "( 5 DOWNTO 0 )"
746o 11
747suid 80,0
748)
749)
750uid 4164,0
751)
752*70 (LogPort
753port (LogicalPort
754decl (Decl
755n "REFCLK"
756t "std_logic"
757o 12
758suid 81,0
759)
760)
761uid 4263,0
762)
763]
764)
765pdm (PhysicalDM
766displayShortBounds 1
767editShortBounds 1
768uid 66,0
769optionalChildren [
770*71 (Sheet
771sheetRow (SheetRow
772headerVa (MVa
773cellColor "49152,49152,49152"
774fontColor "0,0,0"
775font "Tahoma,10,0"
776)
777cellVa (MVa
778cellColor "65535,65535,65535"
779fontColor "0,0,0"
780font "Tahoma,10,0"
781)
782groupVa (MVa
783cellColor "39936,56832,65280"
784fontColor "0,0,0"
785font "Tahoma,10,0"
786)
787emptyMRCItem *72 (MRCItem
788litem &1
789pos 3
790dimension 20
791)
792uid 68,0
793optionalChildren [
794*73 (MRCItem
795litem &2
796pos 0
797dimension 20
798uid 69,0
799)
800*74 (MRCItem
801litem &3
802pos 1
803dimension 23
804uid 70,0
805)
806*75 (MRCItem
807litem &4
808pos 2
809hidden 1
810dimension 20
811uid 71,0
812)
813*76 (MRCItem
814litem &14
815pos 0
816dimension 20
817uid 689,0
818)
819*77 (MRCItem
820litem &15
821pos 2
822dimension 20
823uid 1110,0
824)
825*78 (MRCItem
826litem &16
827pos 3
828dimension 20
829uid 1112,0
830)
831*79 (MRCItem
832litem &17
833pos 4
834dimension 20
835uid 1114,0
836)
837*80 (MRCItem
838litem &18
839pos 5
840dimension 20
841uid 1154,0
842)
843*81 (MRCItem
844litem &19
845pos 6
846dimension 20
847uid 1156,0
848)
849*82 (MRCItem
850litem &20
851pos 7
852dimension 20
853uid 1158,0
854)
855*83 (MRCItem
856litem &21
857pos 8
858dimension 20
859uid 1160,0
860)
861*84 (MRCItem
862litem &22
863pos 9
864dimension 20
865uid 1162,0
866)
867*85 (MRCItem
868litem &23
869pos 10
870dimension 20
871uid 1164,0
872)
873*86 (MRCItem
874litem &24
875pos 11
876dimension 20
877uid 1219,0
878)
879*87 (MRCItem
880litem &25
881pos 12
882dimension 20
883uid 1221,0
884)
885*88 (MRCItem
886litem &26
887pos 13
888dimension 20
889uid 1223,0
890)
891*89 (MRCItem
892litem &27
893pos 14
894dimension 20
895uid 1225,0
896)
897*90 (MRCItem
898litem &28
899pos 15
900dimension 20
901uid 1270,0
902)
903*91 (MRCItem
904litem &29
905pos 16
906dimension 20
907uid 1272,0
908)
909*92 (MRCItem
910litem &30
911pos 17
912dimension 20
913uid 1274,0
914)
915*93 (MRCItem
916litem &31
917pos 18
918dimension 20
919uid 1276,0
920)
921*94 (MRCItem
922litem &32
923pos 19
924dimension 20
925uid 1278,0
926)
927*95 (MRCItem
928litem &33
929pos 20
930dimension 20
931uid 1280,0
932)
933*96 (MRCItem
934litem &34
935pos 1
936dimension 20
937uid 1337,0
938)
939*97 (MRCItem
940litem &35
941pos 21
942dimension 20
943uid 1339,0
944)
945*98 (MRCItem
946litem &36
947pos 22
948dimension 20
949uid 1341,0
950)
951*99 (MRCItem
952litem &37
953pos 23
954dimension 20
955uid 1343,0
956)
957*100 (MRCItem
958litem &38
959pos 24
960dimension 20
961uid 1345,0
962)
963*101 (MRCItem
964litem &39
965pos 25
966dimension 20
967uid 1347,0
968)
969*102 (MRCItem
970litem &40
971pos 26
972dimension 20
973uid 1349,0
974)
975*103 (MRCItem
976litem &41
977pos 27
978dimension 20
979uid 1351,0
980)
981*104 (MRCItem
982litem &42
983pos 28
984dimension 20
985uid 1353,0
986)
987*105 (MRCItem
988litem &43
989pos 29
990dimension 20
991uid 1355,0
992)
993*106 (MRCItem
994litem &44
995pos 30
996dimension 20
997uid 1357,0
998)
999*107 (MRCItem
1000litem &45
1001pos 31
1002dimension 20
1003uid 1359,0
1004)
1005*108 (MRCItem
1006litem &46
1007pos 32
1008dimension 20
1009uid 1361,0
1010)
1011*109 (MRCItem
1012litem &47
1013pos 33
1014dimension 20
1015uid 1616,0
1016)
1017*110 (MRCItem
1018litem &48
1019pos 34
1020dimension 20
1021uid 1618,0
1022)
1023*111 (MRCItem
1024litem &49
1025pos 35
1026dimension 20
1027uid 1654,0
1028)
1029*112 (MRCItem
1030litem &50
1031pos 36
1032dimension 20
1033uid 1656,0
1034)
1035*113 (MRCItem
1036litem &51
1037pos 37
1038dimension 20
1039uid 1658,0
1040)
1041*114 (MRCItem
1042litem &52
1043pos 38
1044dimension 20
1045uid 1660,0
1046)
1047*115 (MRCItem
1048litem &53
1049pos 39
1050dimension 20
1051uid 1662,0
1052)
1053*116 (MRCItem
1054litem &54
1055pos 40
1056dimension 20
1057uid 1664,0
1058)
1059*117 (MRCItem
1060litem &55
1061pos 51
1062dimension 20
1063uid 1666,0
1064)
1065*118 (MRCItem
1066litem &56
1067pos 41
1068dimension 20
1069uid 1668,0
1070)
1071*119 (MRCItem
1072litem &57
1073pos 42
1074dimension 20
1075uid 2066,0
1076)
1077*120 (MRCItem
1078litem &58
1079pos 43
1080dimension 20
1081uid 2917,0
1082)
1083*121 (MRCItem
1084litem &59
1085pos 44
1086dimension 20
1087uid 2947,0
1088)
1089*122 (MRCItem
1090litem &60
1091pos 45
1092dimension 20
1093uid 3024,0
1094)
1095*123 (MRCItem
1096litem &61
1097pos 46
1098dimension 20
1099uid 3454,0
1100)
1101*124 (MRCItem
1102litem &62
1103pos 47
1104dimension 20
1105uid 3577,0
1106)
1107*125 (MRCItem
1108litem &63
1109pos 48
1110dimension 20
1111uid 3579,0
1112)
1113*126 (MRCItem
1114litem &64
1115pos 49
1116dimension 20
1117uid 3683,0
1118)
1119*127 (MRCItem
1120litem &65
1121pos 50
1122dimension 20
1123uid 3685,0
1124)
1125*128 (MRCItem
1126litem &66
1127pos 52
1128dimension 20
1129uid 4027,0
1130)
1131*129 (MRCItem
1132litem &67
1133pos 53
1134dimension 20
1135uid 4029,0
1136)
1137*130 (MRCItem
1138litem &68
1139pos 54
1140dimension 20
1141uid 4031,0
1142)
1143*131 (MRCItem
1144litem &69
1145pos 55
1146dimension 20
1147uid 4163,0
1148)
1149*132 (MRCItem
1150litem &70
1151pos 56
1152dimension 20
1153uid 4262,0
1154)
1155]
1156)
1157sheetCol (SheetCol
1158propVa (MVa
1159cellColor "0,49152,49152"
1160fontColor "0,0,0"
1161font "Tahoma,10,0"
1162textAngle 90
1163)
1164uid 72,0
1165optionalChildren [
1166*133 (MRCItem
1167litem &5
1168pos 0
1169dimension 20
1170uid 73,0
1171)
1172*134 (MRCItem
1173litem &7
1174pos 1
1175dimension 50
1176uid 74,0
1177)
1178*135 (MRCItem
1179litem &8
1180pos 2
1181dimension 100
1182uid 75,0
1183)
1184*136 (MRCItem
1185litem &9
1186pos 3
1187dimension 50
1188uid 76,0
1189)
1190*137 (MRCItem
1191litem &10
1192pos 4
1193dimension 100
1194uid 77,0
1195)
1196*138 (MRCItem
1197litem &11
1198pos 5
1199dimension 100
1200uid 78,0
1201)
1202*139 (MRCItem
1203litem &12
1204pos 6
1205dimension 50
1206uid 79,0
1207)
1208*140 (MRCItem
1209litem &13
1210pos 7
1211dimension 80
1212uid 80,0
1213)
1214]
1215)
1216fixedCol 4
1217fixedRow 2
1218name "Ports"
1219uid 67,0
1220vaOverrides [
1221]
1222)
1223]
1224)
1225uid 52,0
1226)
1227genericsCommonDM (CommonDM
1228ldm (LogicalDM
1229emptyRow *141 (LEmptyRow
1230)
1231uid 82,0
1232optionalChildren [
1233*142 (RefLabelRowHdr
1234)
1235*143 (TitleRowHdr
1236)
1237*144 (FilterRowHdr
1238)
1239*145 (RefLabelColHdr
1240tm "RefLabelColHdrMgr"
1241)
1242*146 (RowExpandColHdr
1243tm "RowExpandColHdrMgr"
1244)
1245*147 (GroupColHdr
1246tm "GroupColHdrMgr"
1247)
1248*148 (NameColHdr
1249tm "GenericNameColHdrMgr"
1250)
1251*149 (TypeColHdr
1252tm "GenericTypeColHdrMgr"
1253)
1254*150 (InitColHdr
1255tm "GenericValueColHdrMgr"
1256)
1257*151 (PragmaColHdr
1258tm "GenericPragmaColHdrMgr"
1259)
1260*152 (EolColHdr
1261tm "GenericEolColHdrMgr"
1262)
1263]
1264)
1265pdm (PhysicalDM
1266displayShortBounds 1
1267editShortBounds 1
1268uid 94,0
1269optionalChildren [
1270*153 (Sheet
1271sheetRow (SheetRow
1272headerVa (MVa
1273cellColor "49152,49152,49152"
1274fontColor "0,0,0"
1275font "Tahoma,10,0"
1276)
1277cellVa (MVa
1278cellColor "65535,65535,65535"
1279fontColor "0,0,0"
1280font "Tahoma,10,0"
1281)
1282groupVa (MVa
1283cellColor "39936,56832,65280"
1284fontColor "0,0,0"
1285font "Tahoma,10,0"
1286)
1287emptyMRCItem *154 (MRCItem
1288litem &141
1289pos 3
1290dimension 20
1291)
1292uid 96,0
1293optionalChildren [
1294*155 (MRCItem
1295litem &142
1296pos 0
1297dimension 20
1298uid 97,0
1299)
1300*156 (MRCItem
1301litem &143
1302pos 1
1303dimension 23
1304uid 98,0
1305)
1306*157 (MRCItem
1307litem &144
1308pos 2
1309hidden 1
1310dimension 20
1311uid 99,0
1312)
1313]
1314)
1315sheetCol (SheetCol
1316propVa (MVa
1317cellColor "0,49152,49152"
1318fontColor "0,0,0"
1319font "Tahoma,10,0"
1320textAngle 90
1321)
1322uid 100,0
1323optionalChildren [
1324*158 (MRCItem
1325litem &145
1326pos 0
1327dimension 20
1328uid 101,0
1329)
1330*159 (MRCItem
1331litem &147
1332pos 1
1333dimension 50
1334uid 102,0
1335)
1336*160 (MRCItem
1337litem &148
1338pos 2
1339dimension 100
1340uid 103,0
1341)
1342*161 (MRCItem
1343litem &149
1344pos 3
1345dimension 100
1346uid 104,0
1347)
1348*162 (MRCItem
1349litem &150
1350pos 4
1351dimension 50
1352uid 105,0
1353)
1354*163 (MRCItem
1355litem &151
1356pos 5
1357dimension 50
1358uid 106,0
1359)
1360*164 (MRCItem
1361litem &152
1362pos 6
1363dimension 80
1364uid 107,0
1365)
1366]
1367)
1368fixedCol 3
1369fixedRow 2
1370name "Ports"
1371uid 95,0
1372vaOverrides [
1373]
1374)
1375]
1376)
1377uid 81,0
1378type 1
1379)
1380VExpander (VariableExpander
1381vvMap [
1382(vvPair
1383variable "HDLDir"
1384value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
1385)
1386(vvPair
1387variable "HDSDir"
1388value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
1389)
1390(vvPair
1391variable "SideDataDesignDir"
1392value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.info"
1393)
1394(vvPair
1395variable "SideDataUserDir"
1396value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb.user"
1397)
1398(vvPair
1399variable "SourceDir"
1400value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
1401)
1402(vvPair
1403variable "appl"
1404value "HDL Designer"
1405)
1406(vvPair
1407variable "arch_name"
1408value "symbol"
1409)
1410(vvPair
1411variable "config"
1412value "%(unit)_%(view)_config"
1413)
1414(vvPair
1415variable "d"
1416value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board"
1417)
1418(vvPair
1419variable "d_logical"
1420value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board"
1421)
1422(vvPair
1423variable "date"
1424value "14.01.2011"
1425)
1426(vvPair
1427variable "day"
1428value "Fr"
1429)
1430(vvPair
1431variable "day_long"
1432value "Freitag"
1433)
1434(vvPair
1435variable "dd"
1436value "14"
1437)
1438(vvPair
1439variable "entity_name"
1440value "FAD_Board"
1441)
1442(vvPair
1443variable "ext"
1444value "<TBD>"
1445)
1446(vvPair
1447variable "f"
1448value "symbol.sb"
1449)
1450(vvPair
1451variable "f_logical"
1452value "symbol.sb"
1453)
1454(vvPair
1455variable "f_noext"
1456value "symbol"
1457)
1458(vvPair
1459variable "group"
1460value "UNKNOWN"
1461)
1462(vvPair
1463variable "host"
1464value "IHP110"
1465)
1466(vvPair
1467variable "language"
1468value "VHDL"
1469)
1470(vvPair
1471variable "library"
1472value "FACT_FAD_lib"
1473)
1474(vvPair
1475variable "library_downstream_HdsLintPlugin"
1476value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
1477)
1478(vvPair
1479variable "library_downstream_ISEPARInvoke"
1480value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1481)
1482(vvPair
1483variable "library_downstream_ImpactInvoke"
1484value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1485)
1486(vvPair
1487variable "library_downstream_ModelSimCompiler"
1488value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
1489)
1490(vvPair
1491variable "library_downstream_XSTDataPrep"
1492value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1493)
1494(vvPair
1495variable "mm"
1496value "01"
1497)
1498(vvPair
1499variable "module_name"
1500value "FAD_Board"
1501)
1502(vvPair
1503variable "month"
1504value "Jan"
1505)
1506(vvPair
1507variable "month_long"
1508value "Januar"
1509)
1510(vvPair
1511variable "p"
1512value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\@f@a@d_@board\\symbol.sb"
1513)
1514(vvPair
1515variable "p_logical"
1516value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\FAD_Board\\symbol.sb"
1517)
1518(vvPair
1519variable "package_name"
1520value "<Undefined Variable>"
1521)
1522(vvPair
1523variable "project_name"
1524value "FACT_FAD"
1525)
1526(vvPair
1527variable "series"
1528value "HDL Designer Series"
1529)
1530(vvPair
1531variable "task_DesignCompilerPath"
1532value "<TBD>"
1533)
1534(vvPair
1535variable "task_LeonardoPath"
1536value "<TBD>"
1537)
1538(vvPair
1539variable "task_ModelSimPath"
1540value "D:\\modeltech_6.5e\\win32"
1541)
1542(vvPair
1543variable "task_NC-SimPath"
1544value "<TBD>"
1545)
1546(vvPair
1547variable "task_PrecisionRTLPath"
1548value "<TBD>"
1549)
1550(vvPair
1551variable "task_QuestaSimPath"
1552value "<TBD>"
1553)
1554(vvPair
1555variable "task_VCSPath"
1556value "<TBD>"
1557)
1558(vvPair
1559variable "this_ext"
1560value "sb"
1561)
1562(vvPair
1563variable "this_file"
1564value "symbol"
1565)
1566(vvPair
1567variable "this_file_logical"
1568value "symbol"
1569)
1570(vvPair
1571variable "time"
1572value "09:57:26"
1573)
1574(vvPair
1575variable "unit"
1576value "FAD_Board"
1577)
1578(vvPair
1579variable "user"
1580value "daqct3"
1581)
1582(vvPair
1583variable "version"
1584value "2009.1 (Build 12)"
1585)
1586(vvPair
1587variable "view"
1588value "symbol"
1589)
1590(vvPair
1591variable "year"
1592value "2011"
1593)
1594(vvPair
1595variable "yy"
1596value "11"
1597)
1598]
1599)
1600LanguageMgr "VhdlLangMgr"
1601uid 51,0
1602optionalChildren [
1603*165 (SymbolBody
1604uid 8,0
1605optionalChildren [
1606*166 (CptPort
1607uid 693,0
1608ps "OnEdgeStrategy"
1609shape (Triangle
1610uid 694,0
1611ro 90
1612va (VaSet
1613vasetType 1
1614fg "0,65535,0"
1615)
1616xt "34000,21625,34750,22375"
1617)
1618tg (CPTG
1619uid 695,0
1620ps "CptPortTextPlaceStrategy"
1621stg "RightVerticalLayoutStrategy"
1622f (Text
1623uid 696,0
1624va (VaSet
1625)
1626xt "28800,21500,33000,22500"
1627st "RSRLOAD"
1628ju 2
1629blo "33000,22300"
1630tm "CptPortNameMgr"
1631)
1632t (Text
1633uid 697,0
1634va (VaSet
1635)
1636xt "31800,22500,33000,23500"
1637st "'0'"
1638ju 2
1639blo "33000,23300"
1640tm "InitValueDelayMgr"
1641)
1642)
1643dt (MLText
1644uid 698,0
1645va (VaSet
1646font "Courier New,8,0"
1647)
1648xt "44000,35600,75500,36400"
1649st "RSRLOAD : OUT std_logic := '0' ;
1650"
1651)
1652thePort (LogicalPort
1653m 1
1654decl (Decl
1655n "RSRLOAD"
1656t "std_logic"
1657o 43
1658suid 11,0
1659i "'0'"
1660)
1661)
1662)
1663*167 (CptPort
1664uid 1116,0
1665ps "OnEdgeStrategy"
1666shape (Triangle
1667uid 1117,0
1668ro 90
1669va (VaSet
1670vasetType 1
1671fg "0,65535,0"
1672)
1673xt "14250,11625,15000,12375"
1674)
1675tg (CPTG
1676uid 1118,0
1677ps "CptPortTextPlaceStrategy"
1678stg "VerticalLayoutStrategy"
1679f (Text
1680uid 1119,0
1681va (VaSet
1682)
1683xt "16000,11500,18800,12500"
1684st "X_50M"
1685blo "16000,12300"
1686tm "CptPortNameMgr"
1687)
1688)
1689dt (MLText
1690uid 1120,0
1691va (VaSet
1692font "Courier New,8,0"
1693)
1694xt "44000,15600,62000,16400"
1695st "X_50M : IN STD_LOGIC ;
1696"
1697)
1698thePort (LogicalPort
1699decl (Decl
1700n "X_50M"
1701t "STD_LOGIC"
1702preAdd 0
1703posAdd 0
1704o 18
1705suid 15,0
1706)
1707)
1708)
1709*168 (CptPort
1710uid 1121,0
1711ps "OnEdgeStrategy"
1712shape (Triangle
1713uid 1122,0
1714ro 90
1715va (VaSet
1716vasetType 1
1717fg "0,65535,0"
1718)
1719xt "14250,13625,15000,14375"
1720)
1721tg (CPTG
1722uid 1123,0
1723ps "CptPortTextPlaceStrategy"
1724stg "VerticalLayoutStrategy"
1725f (Text
1726uid 1124,0
1727va (VaSet
1728)
1729xt "16000,13500,18100,14500"
1730st "TRG"
1731blo "16000,14300"
1732tm "CptPortNameMgr"
1733)
1734)
1735dt (MLText
1736uid 1125,0
1737va (VaSet
1738font "Courier New,8,0"
1739)
1740xt "44000,14000,62000,14800"
1741st "TRG : IN STD_LOGIC ;
1742"
1743)
1744thePort (LogicalPort
1745decl (Decl
1746n "TRG"
1747t "STD_LOGIC"
1748o 16
1749suid 16,0
1750)
1751)
1752)
1753*169 (CptPort
1754uid 1126,0
1755ps "OnEdgeStrategy"
1756shape (Triangle
1757uid 1127,0
1758ro 90
1759va (VaSet
1760vasetType 1
1761fg "0,65535,0"
1762)
1763xt "34000,25625,34750,26375"
1764)
1765tg (CPTG
1766uid 1128,0
1767ps "CptPortTextPlaceStrategy"
1768stg "RightVerticalLayoutStrategy"
1769f (Text
1770uid 1129,0
1771va (VaSet
1772)
1773xt "27600,25500,33000,26500"
1774st "A_CLK : (3:0)"
1775ju 2
1776blo "33000,26300"
1777tm "CptPortNameMgr"
1778)
1779)
1780dt (MLText
1781uid 1130,0
1782va (VaSet
1783font "Courier New,8,0"
1784)
1785xt "44000,18800,72000,19600"
1786st "A_CLK : OUT std_logic_vector (3 downto 0) ;
1787"
1788)
1789thePort (LogicalPort
1790m 1
1791decl (Decl
1792n "A_CLK"
1793t "std_logic_vector"
1794b "(3 downto 0)"
1795o 22
1796suid 17,0
1797)
1798)
1799)
1800*170 (CptPort
1801uid 1166,0
1802ps "OnEdgeStrategy"
1803shape (Triangle
1804uid 1167,0
1805ro 90
1806va (VaSet
1807vasetType 1
1808fg "0,65535,0"
1809)
1810xt "34000,27625,34750,28375"
1811)
1812tg (CPTG
1813uid 1168,0
1814ps "CptPortTextPlaceStrategy"
1815stg "RightVerticalLayoutStrategy"
1816f (Text
1817uid 1169,0
1818va (VaSet
1819)
1820xt "29400,27500,33000,28500"
1821st "OE_ADC"
1822ju 2
1823blo "33000,28300"
1824tm "CptPortNameMgr"
1825)
1826)
1827dt (MLText
1828uid 1170,0
1829va (VaSet
1830font "Courier New,8,0"
1831)
1832xt "44000,30000,62000,30800"
1833st "OE_ADC : OUT STD_LOGIC ;
1834"
1835)
1836thePort (LogicalPort
1837m 1
1838decl (Decl
1839n "OE_ADC"
1840t "STD_LOGIC"
1841preAdd 0
1842posAdd 0
1843o 36
1844suid 18,0
1845)
1846)
1847)
1848*171 (CptPort
1849uid 1171,0
1850ps "OnEdgeStrategy"
1851shape (Triangle
1852uid 1172,0
1853ro 90
1854va (VaSet
1855vasetType 1
1856fg "0,65535,0"
1857)
1858xt "14250,15625,15000,16375"
1859)
1860tg (CPTG
1861uid 1173,0
1862ps "CptPortTextPlaceStrategy"
1863stg "VerticalLayoutStrategy"
1864f (Text
1865uid 1174,0
1866va (VaSet
1867)
1868xt "16000,15500,21600,16500"
1869st "A_OTR : (3:0)"
1870blo "16000,16300"
1871tm "CptPortNameMgr"
1872)
1873)
1874dt (MLText
1875uid 1175,0
1876va (VaSet
1877font "Courier New,8,0"
1878)
1879xt "44000,5200,72000,6000"
1880st "A_OTR : IN std_logic_vector (3 DOWNTO 0) ;
1881"
1882)
1883thePort (LogicalPort
1884decl (Decl
1885n "A_OTR"
1886t "std_logic_vector"
1887b "(3 DOWNTO 0)"
1888o 5
1889suid 19,0
1890)
1891)
1892)
1893*172 (CptPort
1894uid 1176,0
1895ps "OnEdgeStrategy"
1896shape (Triangle
1897uid 1177,0
1898ro 90
1899va (VaSet
1900vasetType 1
1901fg "0,65535,0"
1902)
1903xt "14250,17625,15000,18375"
1904)
1905tg (CPTG
1906uid 1178,0
1907ps "CptPortTextPlaceStrategy"
1908stg "VerticalLayoutStrategy"
1909f (Text
1910uid 1179,0
1911va (VaSet
1912)
1913xt "16000,17500,21300,18500"
1914st "A0_D : (11:0)"
1915blo "16000,18300"
1916tm "CptPortNameMgr"
1917)
1918)
1919dt (MLText
1920uid 1180,0
1921va (VaSet
1922font "Courier New,8,0"
1923)
1924xt "44000,2000,72500,2800"
1925st "A0_D : IN std_logic_vector (11 DOWNTO 0) ;
1926"
1927)
1928thePort (LogicalPort
1929decl (Decl
1930n "A0_D"
1931t "std_logic_vector"
1932b "(11 DOWNTO 0)"
1933o 1
1934suid 20,0
1935)
1936)
1937)
1938*173 (CptPort
1939uid 1181,0
1940ps "OnEdgeStrategy"
1941shape (Triangle
1942uid 1182,0
1943ro 90
1944va (VaSet
1945vasetType 1
1946fg "0,65535,0"
1947)
1948xt "14250,19625,15000,20375"
1949)
1950tg (CPTG
1951uid 1183,0
1952ps "CptPortTextPlaceStrategy"
1953stg "VerticalLayoutStrategy"
1954f (Text
1955uid 1184,0
1956va (VaSet
1957)
1958xt "16000,19500,21300,20500"
1959st "A1_D : (11:0)"
1960blo "16000,20300"
1961tm "CptPortNameMgr"
1962)
1963)
1964dt (MLText
1965uid 1185,0
1966va (VaSet
1967font "Courier New,8,0"
1968)
1969xt "44000,2800,72500,3600"
1970st "A1_D : IN std_logic_vector (11 DOWNTO 0) ;
1971"
1972)
1973thePort (LogicalPort
1974decl (Decl
1975n "A1_D"
1976t "std_logic_vector"
1977b "(11 DOWNTO 0)"
1978o 2
1979suid 21,0
1980)
1981)
1982)
1983*174 (CptPort
1984uid 1186,0
1985ps "OnEdgeStrategy"
1986shape (Triangle
1987uid 1187,0
1988ro 90
1989va (VaSet
1990vasetType 1
1991fg "0,65535,0"
1992)
1993xt "14250,21625,15000,22375"
1994)
1995tg (CPTG
1996uid 1188,0
1997ps "CptPortTextPlaceStrategy"
1998stg "VerticalLayoutStrategy"
1999f (Text
2000uid 1189,0
2001va (VaSet
2002)
2003xt "16000,21500,21300,22500"
2004st "A2_D : (11:0)"
2005blo "16000,22300"
2006tm "CptPortNameMgr"
2007)
2008)
2009dt (MLText
2010uid 1190,0
2011va (VaSet
2012font "Courier New,8,0"
2013)
2014xt "44000,3600,72500,4400"
2015st "A2_D : IN std_logic_vector (11 DOWNTO 0) ;
2016"
2017)
2018thePort (LogicalPort
2019decl (Decl
2020n "A2_D"
2021t "std_logic_vector"
2022b "(11 DOWNTO 0)"
2023o 3
2024suid 22,0
2025)
2026)
2027)
2028*175 (CptPort
2029uid 1191,0
2030ps "OnEdgeStrategy"
2031shape (Triangle
2032uid 1192,0
2033ro 90
2034va (VaSet
2035vasetType 1
2036fg "0,65535,0"
2037)
2038xt "14250,23625,15000,24375"
2039)
2040tg (CPTG
2041uid 1193,0
2042ps "CptPortTextPlaceStrategy"
2043stg "VerticalLayoutStrategy"
2044f (Text
2045uid 1194,0
2046va (VaSet
2047)
2048xt "16000,23500,21300,24500"
2049st "A3_D : (11:0)"
2050blo "16000,24300"
2051tm "CptPortNameMgr"
2052)
2053)
2054dt (MLText
2055uid 1195,0
2056va (VaSet
2057font "Courier New,8,0"
2058)
2059xt "44000,4400,72500,5200"
2060st "A3_D : IN std_logic_vector (11 DOWNTO 0) ;
2061"
2062)
2063thePort (LogicalPort
2064decl (Decl
2065n "A3_D"
2066t "std_logic_vector"
2067b "(11 DOWNTO 0)"
2068o 4
2069suid 23,0
2070)
2071)
2072)
2073*176 (CptPort
2074uid 1227,0
2075ps "OnEdgeStrategy"
2076shape (Triangle
2077uid 1777,0
2078ro 90
2079va (VaSet
2080vasetType 1
2081fg "0,65535,0"
2082)
2083xt "34000,83625,34750,84375"
2084)
2085tg (CPTG
2086uid 1229,0
2087ps "CptPortTextPlaceStrategy"
2088stg "RightVerticalLayoutStrategy"
2089f (Text
2090uid 1230,0
2091va (VaSet
2092)
2093xt "28600,83500,33000,84500"
2094st "D0_SRCLK"
2095ju 2
2096blo "33000,84300"
2097tm "CptPortNameMgr"
2098)
2099)
2100dt (MLText
2101uid 1231,0
2102va (VaSet
2103font "Courier New,8,0"
2104)
2105xt "44000,19600,62000,20400"
2106st "D0_SRCLK : OUT STD_LOGIC ;
2107"
2108)
2109thePort (LogicalPort
2110m 1
2111decl (Decl
2112n "D0_SRCLK"
2113t "STD_LOGIC"
2114o 23
2115suid 24,0
2116)
2117)
2118)
2119*177 (CptPort
2120uid 1232,0
2121ps "OnEdgeStrategy"
2122shape (Triangle
2123uid 1778,0
2124ro 90
2125va (VaSet
2126vasetType 1
2127fg "0,65535,0"
2128)
2129xt "34000,85625,34750,86375"
2130)
2131tg (CPTG
2132uid 1234,0
2133ps "CptPortTextPlaceStrategy"
2134stg "RightVerticalLayoutStrategy"
2135f (Text
2136uid 1235,0
2137va (VaSet
2138)
2139xt "28600,85500,33000,86500"
2140st "D1_SRCLK"
2141ju 2
2142blo "33000,86300"
2143tm "CptPortNameMgr"
2144)
2145)
2146dt (MLText
2147uid 1236,0
2148va (VaSet
2149font "Courier New,8,0"
2150)
2151xt "44000,20400,62000,21200"
2152st "D1_SRCLK : OUT STD_LOGIC ;
2153"
2154)
2155thePort (LogicalPort
2156m 1
2157decl (Decl
2158n "D1_SRCLK"
2159t "STD_LOGIC"
2160o 24
2161suid 25,0
2162)
2163)
2164)
2165*178 (CptPort
2166uid 1237,0
2167ps "OnEdgeStrategy"
2168shape (Triangle
2169uid 1779,0
2170ro 90
2171va (VaSet
2172vasetType 1
2173fg "0,65535,0"
2174)
2175xt "34000,87625,34750,88375"
2176)
2177tg (CPTG
2178uid 1239,0
2179ps "CptPortTextPlaceStrategy"
2180stg "RightVerticalLayoutStrategy"
2181f (Text
2182uid 1240,0
2183va (VaSet
2184)
2185xt "28600,87500,33000,88500"
2186st "D2_SRCLK"
2187ju 2
2188blo "33000,88300"
2189tm "CptPortNameMgr"
2190)
2191)
2192dt (MLText
2193uid 1241,0
2194va (VaSet
2195font "Courier New,8,0"
2196)
2197xt "44000,21200,62000,22000"
2198st "D2_SRCLK : OUT STD_LOGIC ;
2199"
2200)
2201thePort (LogicalPort
2202m 1
2203decl (Decl
2204n "D2_SRCLK"
2205t "STD_LOGIC"
2206o 25
2207suid 26,0
2208)
2209)
2210)
2211*179 (CptPort
2212uid 1242,0
2213ps "OnEdgeStrategy"
2214shape (Triangle
2215uid 1780,0
2216ro 90
2217va (VaSet
2218vasetType 1
2219fg "0,65535,0"
2220)
2221xt "34000,89625,34750,90375"
2222)
2223tg (CPTG
2224uid 1244,0
2225ps "CptPortTextPlaceStrategy"
2226stg "RightVerticalLayoutStrategy"
2227f (Text
2228uid 1245,0
2229va (VaSet
2230)
2231xt "28600,89500,33000,90500"
2232st "D3_SRCLK"
2233ju 2
2234blo "33000,90300"
2235tm "CptPortNameMgr"
2236)
2237)
2238dt (MLText
2239uid 1246,0
2240va (VaSet
2241font "Courier New,8,0"
2242)
2243xt "44000,22000,62000,22800"
2244st "D3_SRCLK : OUT STD_LOGIC ;
2245"
2246)
2247thePort (LogicalPort
2248m 1
2249decl (Decl
2250n "D3_SRCLK"
2251t "STD_LOGIC"
2252o 26
2253suid 27,0
2254)
2255)
2256)
2257*180 (CptPort
2258uid 1282,0
2259ps "OnEdgeStrategy"
2260shape (Triangle
2261uid 1283,0
2262ro 90
2263va (VaSet
2264vasetType 1
2265fg "0,65535,0"
2266)
2267xt "14250,33625,15000,34375"
2268)
2269tg (CPTG
2270uid 1284,0
2271ps "CptPortTextPlaceStrategy"
2272stg "VerticalLayoutStrategy"
2273f (Text
2274uid 1285,0
2275va (VaSet
2276)
2277xt "16000,33500,20600,34500"
2278st "D0_SROUT"
2279blo "16000,34300"
2280tm "CptPortNameMgr"
2281)
2282)
2283dt (MLText
2284uid 1286,0
2285va (VaSet
2286font "Courier New,8,0"
2287)
2288xt "44000,6000,62000,6800"
2289st "D0_SROUT : IN std_logic ;
2290"
2291)
2292thePort (LogicalPort
2293decl (Decl
2294n "D0_SROUT"
2295t "std_logic"
2296o 6
2297suid 28,0
2298)
2299)
2300)
2301*181 (CptPort
2302uid 1287,0
2303ps "OnEdgeStrategy"
2304shape (Triangle
2305uid 1288,0
2306ro 90
2307va (VaSet
2308vasetType 1
2309fg "0,65535,0"
2310)
2311xt "14250,35625,15000,36375"
2312)
2313tg (CPTG
2314uid 1289,0
2315ps "CptPortTextPlaceStrategy"
2316stg "VerticalLayoutStrategy"
2317f (Text
2318uid 1290,0
2319va (VaSet
2320)
2321xt "16000,35500,20600,36500"
2322st "D1_SROUT"
2323blo "16000,36300"
2324tm "CptPortNameMgr"
2325)
2326)
2327dt (MLText
2328uid 1291,0
2329va (VaSet
2330font "Courier New,8,0"
2331)
2332xt "44000,6800,62000,7600"
2333st "D1_SROUT : IN std_logic ;
2334"
2335)
2336thePort (LogicalPort
2337decl (Decl
2338n "D1_SROUT"
2339t "std_logic"
2340o 7
2341suid 29,0
2342)
2343)
2344)
2345*182 (CptPort
2346uid 1292,0
2347ps "OnEdgeStrategy"
2348shape (Triangle
2349uid 1293,0
2350ro 90
2351va (VaSet
2352vasetType 1
2353fg "0,65535,0"
2354)
2355xt "14250,37625,15000,38375"
2356)
2357tg (CPTG
2358uid 1294,0
2359ps "CptPortTextPlaceStrategy"
2360stg "VerticalLayoutStrategy"
2361f (Text
2362uid 1295,0
2363va (VaSet
2364)
2365xt "16000,37500,20600,38500"
2366st "D2_SROUT"
2367blo "16000,38300"
2368tm "CptPortNameMgr"
2369)
2370)
2371dt (MLText
2372uid 1296,0
2373va (VaSet
2374font "Courier New,8,0"
2375)
2376xt "44000,7600,62000,8400"
2377st "D2_SROUT : IN std_logic ;
2378"
2379)
2380thePort (LogicalPort
2381decl (Decl
2382n "D2_SROUT"
2383t "std_logic"
2384o 8
2385suid 30,0
2386)
2387)
2388)
2389*183 (CptPort
2390uid 1297,0
2391ps "OnEdgeStrategy"
2392shape (Triangle
2393uid 1298,0
2394ro 90
2395va (VaSet
2396vasetType 1
2397fg "0,65535,0"
2398)
2399xt "14250,39625,15000,40375"
2400)
2401tg (CPTG
2402uid 1299,0
2403ps "CptPortTextPlaceStrategy"
2404stg "VerticalLayoutStrategy"
2405f (Text
2406uid 1300,0
2407va (VaSet
2408)
2409xt "16000,39500,20600,40500"
2410st "D3_SROUT"
2411blo "16000,40300"
2412tm "CptPortNameMgr"
2413)
2414)
2415dt (MLText
2416uid 1301,0
2417va (VaSet
2418font "Courier New,8,0"
2419)
2420xt "44000,8400,62000,9200"
2421st "D3_SROUT : IN std_logic ;
2422"
2423)
2424thePort (LogicalPort
2425decl (Decl
2426n "D3_SROUT"
2427t "std_logic"
2428o 9
2429suid 31,0
2430)
2431)
2432)
2433*184 (CptPort
2434uid 1302,0
2435ps "OnEdgeStrategy"
2436shape (Triangle
2437uid 1303,0
2438ro 90
2439va (VaSet
2440vasetType 1
2441fg "0,65535,0"
2442)
2443xt "34000,29625,34750,30375"
2444)
2445tg (CPTG
2446uid 1304,0
2447ps "CptPortTextPlaceStrategy"
2448stg "RightVerticalLayoutStrategy"
2449f (Text
2450uid 1305,0
2451va (VaSet
2452)
2453xt "28500,29500,33000,30500"
2454st "D_A : (3:0)"
2455ju 2
2456blo "33000,30300"
2457tm "CptPortNameMgr"
2458)
2459t (Text
2460uid 1306,0
2461va (VaSet
2462)
2463xt "27300,30500,33000,31500"
2464st "(others => '0')"
2465ju 2
2466blo "33000,31300"
2467tm "InitValueDelayMgr"
2468)
2469)
2470dt (MLText
2471uid 1307,0
2472va (VaSet
2473font "Courier New,8,0"
2474)
2475xt "44000,25200,81500,26000"
2476st "D_A : OUT std_logic_vector (3 DOWNTO 0) := (others => '0') ;
2477"
2478)
2479thePort (LogicalPort
2480m 1
2481decl (Decl
2482n "D_A"
2483t "std_logic_vector"
2484b "(3 DOWNTO 0)"
2485o 30
2486suid 32,0
2487i "(others => '0')"
2488)
2489)
2490)
2491*185 (CptPort
2492uid 1308,0
2493ps "OnEdgeStrategy"
2494shape (Triangle
2495uid 1309,0
2496ro 90
2497va (VaSet
2498vasetType 1
2499fg "0,65535,0"
2500)
2501xt "34000,31625,34750,32375"
2502)
2503tg (CPTG
2504uid 1310,0
2505ps "CptPortTextPlaceStrategy"
2506stg "RightVerticalLayoutStrategy"
2507f (Text
2508uid 1311,0
2509va (VaSet
2510)
2511xt "29500,31500,33000,32500"
2512st "DWRITE"
2513ju 2
2514blo "33000,32300"
2515tm "CptPortNameMgr"
2516)
2517t (Text
2518uid 1312,0
2519va (VaSet
2520)
2521xt "31800,32500,33000,33500"
2522st "'0'"
2523ju 2
2524blo "33000,33300"
2525tm "InitValueDelayMgr"
2526)
2527)
2528dt (MLText
2529uid 1313,0
2530va (VaSet
2531font "Courier New,8,0"
2532)
2533xt "44000,24400,75500,25200"
2534st "DWRITE : OUT std_logic := '0' ;
2535"
2536)
2537thePort (LogicalPort
2538m 1
2539decl (Decl
2540n "DWRITE"
2541t "std_logic"
2542o 29
2543suid 33,0
2544i "'0'"
2545)
2546)
2547)
2548*186 (CptPort
2549uid 1363,0
2550ps "OnEdgeStrategy"
2551shape (Triangle
2552uid 1364,0
2553ro 90
2554va (VaSet
2555vasetType 1
2556fg "0,65535,0"
2557)
2558xt "34000,33625,34750,34375"
2559)
2560tg (CPTG
2561uid 1365,0
2562ps "CptPortTextPlaceStrategy"
2563stg "RightVerticalLayoutStrategy"
2564f (Text
2565uid 1366,0
2566va (VaSet
2567)
2568xt "29400,33500,33000,34500"
2569st "DAC_CS"
2570ju 2
2571blo "33000,34300"
2572tm "CptPortNameMgr"
2573)
2574)
2575dt (MLText
2576uid 1367,0
2577va (VaSet
2578font "Courier New,8,0"
2579)
2580xt "44000,22800,62000,23600"
2581st "DAC_CS : OUT std_logic ;
2582"
2583)
2584thePort (LogicalPort
2585m 1
2586decl (Decl
2587n "DAC_CS"
2588t "std_logic"
2589o 27
2590suid 34,0
2591)
2592)
2593)
2594*187 (CptPort
2595uid 1368,0
2596ps "OnEdgeStrategy"
2597shape (Triangle
2598uid 1369,0
2599ro 90
2600va (VaSet
2601vasetType 1
2602fg "0,65535,0"
2603)
2604xt "34000,35625,34750,36375"
2605)
2606tg (CPTG
2607uid 1370,0
2608ps "CptPortTextPlaceStrategy"
2609stg "RightVerticalLayoutStrategy"
2610f (Text
2611uid 1371,0
2612va (VaSet
2613)
2614xt "30200,35500,33000,36500"
2615st "T0_CS"
2616ju 2
2617blo "33000,36300"
2618tm "CptPortNameMgr"
2619)
2620)
2621dt (MLText
2622uid 1372,0
2623va (VaSet
2624font "Courier New,8,0"
2625)
2626xt "44000,38000,62000,38800"
2627st "T0_CS : OUT std_logic ;
2628"
2629)
2630thePort (LogicalPort
2631m 1
2632decl (Decl
2633n "T0_CS"
2634t "std_logic"
2635o 46
2636suid 35,0
2637)
2638)
2639)
2640*188 (CptPort
2641uid 1373,0
2642ps "OnEdgeStrategy"
2643shape (Triangle
2644uid 1374,0
2645ro 90
2646va (VaSet
2647vasetType 1
2648fg "0,65535,0"
2649)
2650xt "34000,37625,34750,38375"
2651)
2652tg (CPTG
2653uid 1375,0
2654ps "CptPortTextPlaceStrategy"
2655stg "RightVerticalLayoutStrategy"
2656f (Text
2657uid 1376,0
2658va (VaSet
2659)
2660xt "30200,37500,33000,38500"
2661st "T1_CS"
2662ju 2
2663blo "33000,38300"
2664tm "CptPortNameMgr"
2665)
2666)
2667dt (MLText
2668uid 1377,0
2669va (VaSet
2670font "Courier New,8,0"
2671)
2672xt "44000,38800,62000,39600"
2673st "T1_CS : OUT std_logic ;
2674"
2675)
2676thePort (LogicalPort
2677m 1
2678decl (Decl
2679n "T1_CS"
2680t "std_logic"
2681o 47
2682suid 36,0
2683)
2684)
2685)
2686*189 (CptPort
2687uid 1378,0
2688ps "OnEdgeStrategy"
2689shape (Triangle
2690uid 1379,0
2691ro 90
2692va (VaSet
2693vasetType 1
2694fg "0,65535,0"
2695)
2696xt "34000,39625,34750,40375"
2697)
2698tg (CPTG
2699uid 1380,0
2700ps "CptPortTextPlaceStrategy"
2701stg "RightVerticalLayoutStrategy"
2702f (Text
2703uid 1381,0
2704va (VaSet
2705)
2706xt "30200,39500,33000,40500"
2707st "T2_CS"
2708ju 2
2709blo "33000,40300"
2710tm "CptPortNameMgr"
2711)
2712)
2713dt (MLText
2714uid 1382,0
2715va (VaSet
2716font "Courier New,8,0"
2717)
2718xt "44000,39600,62000,40400"
2719st "T2_CS : OUT std_logic ;
2720"
2721)
2722thePort (LogicalPort
2723m 1
2724decl (Decl
2725n "T2_CS"
2726t "std_logic"
2727o 48
2728suid 37,0
2729)
2730)
2731)
2732*190 (CptPort
2733uid 1383,0
2734ps "OnEdgeStrategy"
2735shape (Triangle
2736uid 1384,0
2737ro 90
2738va (VaSet
2739vasetType 1
2740fg "0,65535,0"
2741)
2742xt "34000,41625,34750,42375"
2743)
2744tg (CPTG
2745uid 1385,0
2746ps "CptPortTextPlaceStrategy"
2747stg "RightVerticalLayoutStrategy"
2748f (Text
2749uid 1386,0
2750va (VaSet
2751)
2752xt "30200,41500,33000,42500"
2753st "T3_CS"
2754ju 2
2755blo "33000,42300"
2756tm "CptPortNameMgr"
2757)
2758)
2759dt (MLText
2760uid 1387,0
2761va (VaSet
2762font "Courier New,8,0"
2763)
2764xt "44000,40400,62000,41200"
2765st "T3_CS : OUT std_logic ;
2766"
2767)
2768thePort (LogicalPort
2769m 1
2770decl (Decl
2771n "T3_CS"
2772t "std_logic"
2773o 49
2774suid 38,0
2775)
2776)
2777)
2778*191 (CptPort
2779uid 1388,0
2780ps "OnEdgeStrategy"
2781shape (Triangle
2782uid 1389,0
2783ro 90
2784va (VaSet
2785vasetType 1
2786fg "0,65535,0"
2787)
2788xt "34000,43625,34750,44375"
2789)
2790tg (CPTG
2791uid 1390,0
2792ps "CptPortTextPlaceStrategy"
2793stg "RightVerticalLayoutStrategy"
2794f (Text
2795uid 1391,0
2796va (VaSet
2797)
2798xt "30200,43500,33000,44500"
2799st "S_CLK"
2800ju 2
2801blo "33000,44300"
2802tm "CptPortNameMgr"
2803)
2804)
2805dt (MLText
2806uid 1392,0
2807va (VaSet
2808font "Courier New,8,0"
2809)
2810xt "44000,37200,62000,38000"
2811st "S_CLK : OUT std_logic ;
2812"
2813)
2814thePort (LogicalPort
2815m 1
2816decl (Decl
2817n "S_CLK"
2818t "std_logic"
2819o 45
2820suid 39,0
2821)
2822)
2823)
2824*192 (CptPort
2825uid 1393,0
2826ps "OnEdgeStrategy"
2827shape (Triangle
2828uid 1394,0
2829ro 90
2830va (VaSet
2831vasetType 1
2832fg "0,65535,0"
2833)
2834xt "34000,45625,34750,46375"
2835)
2836tg (CPTG
2837uid 1395,0
2838ps "CptPortTextPlaceStrategy"
2839stg "RightVerticalLayoutStrategy"
2840f (Text
2841uid 1396,0
2842va (VaSet
2843)
2844xt "28400,45500,33000,46500"
2845st "W_A : (9:0)"
2846ju 2
2847blo "33000,46300"
2848tm "CptPortNameMgr"
2849)
2850)
2851dt (MLText
2852uid 1397,0
2853va (VaSet
2854font "Courier New,8,0"
2855)
2856xt "44000,42000,72000,42800"
2857st "W_A : OUT std_logic_vector (9 DOWNTO 0) ;
2858"
2859)
2860thePort (LogicalPort
2861m 1
2862decl (Decl
2863n "W_A"
2864t "std_logic_vector"
2865b "(9 DOWNTO 0)"
2866o 51
2867suid 40,0
2868)
2869)
2870)
2871*193 (CptPort
2872uid 1398,0
2873ps "OnEdgeStrategy"
2874shape (Diamond
2875uid 1399,0
2876ro 90
2877va (VaSet
2878vasetType 1
2879fg "0,65535,0"
2880)
2881xt "34000,47625,34750,48375"
2882)
2883tg (CPTG
2884uid 1400,0
2885ps "CptPortTextPlaceStrategy"
2886stg "RightVerticalLayoutStrategy"
2887f (Text
2888uid 1401,0
2889va (VaSet
2890)
2891xt "27900,47500,33000,48500"
2892st "W_D : (15:0)"
2893ju 2
2894blo "33000,48300"
2895tm "CptPortNameMgr"
2896)
2897)
2898dt (MLText
2899uid 1402,0
2900va (VaSet
2901font "Courier New,8,0"
2902)
2903xt "44000,46800,71500,47600"
2904st "W_D : INOUT std_logic_vector (15 DOWNTO 0)
2905"
2906)
2907thePort (LogicalPort
2908m 2
2909decl (Decl
2910n "W_D"
2911t "std_logic_vector"
2912b "(15 DOWNTO 0)"
2913o 57
2914suid 41,0
2915)
2916)
2917)
2918*194 (CptPort
2919uid 1403,0
2920ps "OnEdgeStrategy"
2921shape (Triangle
2922uid 1404,0
2923ro 90
2924va (VaSet
2925vasetType 1
2926fg "0,65535,0"
2927)
2928xt "34000,49625,34750,50375"
2929)
2930tg (CPTG
2931uid 1405,0
2932ps "CptPortTextPlaceStrategy"
2933stg "RightVerticalLayoutStrategy"
2934f (Text
2935uid 1406,0
2936va (VaSet
2937)
2938xt "29900,49500,33000,50500"
2939st "W_RES"
2940ju 2
2941blo "33000,50300"
2942tm "CptPortNameMgr"
2943)
2944t (Text
2945uid 1407,0
2946va (VaSet
2947)
2948xt "31800,50500,33000,51500"
2949st "'1'"
2950ju 2
2951blo "33000,51300"
2952tm "InitValueDelayMgr"
2953)
2954)
2955dt (MLText
2956uid 1408,0
2957va (VaSet
2958font "Courier New,8,0"
2959)
2960xt "44000,44400,75500,45200"
2961st "W_RES : OUT std_logic := '1' ;
2962"
2963)
2964thePort (LogicalPort
2965m 1
2966decl (Decl
2967n "W_RES"
2968t "std_logic"
2969o 54
2970suid 42,0
2971i "'1'"
2972)
2973)
2974)
2975*195 (CptPort
2976uid 1409,0
2977ps "OnEdgeStrategy"
2978shape (Triangle
2979uid 1410,0
2980ro 90
2981va (VaSet
2982vasetType 1
2983fg "0,65535,0"
2984)
2985xt "34000,51625,34750,52375"
2986)
2987tg (CPTG
2988uid 1411,0
2989ps "CptPortTextPlaceStrategy"
2990stg "RightVerticalLayoutStrategy"
2991f (Text
2992uid 1412,0
2993va (VaSet
2994)
2995xt "30300,51500,33000,52500"
2996st "W_RD"
2997ju 2
2998blo "33000,52300"
2999tm "CptPortNameMgr"
3000)
3001t (Text
3002uid 1413,0
3003va (VaSet
3004)
3005xt "31800,52500,33000,53500"
3006st "'1'"
3007ju 2
3008blo "33000,53300"
3009tm "InitValueDelayMgr"
3010)
3011)
3012dt (MLText
3013uid 1414,0
3014va (VaSet
3015font "Courier New,8,0"
3016)
3017xt "44000,43600,75500,44400"
3018st "W_RD : OUT std_logic := '1' ;
3019"
3020)
3021thePort (LogicalPort
3022m 1
3023decl (Decl
3024n "W_RD"
3025t "std_logic"
3026o 53
3027suid 43,0
3028i "'1'"
3029)
3030)
3031)
3032*196 (CptPort
3033uid 1415,0
3034ps "OnEdgeStrategy"
3035shape (Triangle
3036uid 1416,0
3037ro 90
3038va (VaSet
3039vasetType 1
3040fg "0,65535,0"
3041)
3042xt "34000,53625,34750,54375"
3043)
3044tg (CPTG
3045uid 1417,0
3046ps "CptPortTextPlaceStrategy"
3047stg "RightVerticalLayoutStrategy"
3048f (Text
3049uid 1418,0
3050va (VaSet
3051)
3052xt "30200,53500,33000,54500"
3053st "W_WR"
3054ju 2
3055blo "33000,54300"
3056tm "CptPortNameMgr"
3057)
3058t (Text
3059uid 1419,0
3060va (VaSet
3061)
3062xt "31800,54500,33000,55500"
3063st "'1'"
3064ju 2
3065blo "33000,55300"
3066tm "InitValueDelayMgr"
3067)
3068)
3069dt (MLText
3070uid 1420,0
3071va (VaSet
3072font "Courier New,8,0"
3073)
3074xt "44000,45200,75500,46000"
3075st "W_WR : OUT std_logic := '1' ;
3076"
3077)
3078thePort (LogicalPort
3079m 1
3080decl (Decl
3081n "W_WR"
3082t "std_logic"
3083o 55
3084suid 44,0
3085i "'1'"
3086)
3087)
3088)
3089*197 (CptPort
3090uid 1421,0
3091ps "OnEdgeStrategy"
3092shape (Triangle
3093uid 1422,0
3094ro 90
3095va (VaSet
3096vasetType 1
3097fg "0,65535,0"
3098)
3099xt "14250,41625,15000,42375"
3100)
3101tg (CPTG
3102uid 1423,0
3103ps "CptPortTextPlaceStrategy"
3104stg "VerticalLayoutStrategy"
3105f (Text
3106uid 1424,0
3107va (VaSet
3108)
3109xt "16000,41500,18800,42500"
3110st "W_INT"
3111blo "16000,42300"
3112tm "CptPortNameMgr"
3113)
3114)
3115dt (MLText
3116uid 1425,0
3117va (VaSet
3118font "Courier New,8,0"
3119)
3120xt "44000,14800,62000,15600"
3121st "W_INT : IN std_logic ;
3122"
3123)
3124thePort (LogicalPort
3125decl (Decl
3126n "W_INT"
3127t "std_logic"
3128o 17
3129suid 45,0
3130)
3131)
3132)
3133*198 (CptPort
3134uid 1426,0
3135ps "OnEdgeStrategy"
3136shape (Triangle
3137uid 1427,0
3138ro 90
3139va (VaSet
3140vasetType 1
3141fg "0,65535,0"
3142)
3143xt "34000,55625,34750,56375"
3144)
3145tg (CPTG
3146uid 1428,0
3147ps "CptPortTextPlaceStrategy"
3148stg "RightVerticalLayoutStrategy"
3149f (Text
3150uid 1429,0
3151va (VaSet
3152)
3153xt "30400,55500,33000,56500"
3154st "W_CS"
3155ju 2
3156blo "33000,56300"
3157tm "CptPortNameMgr"
3158)
3159t (Text
3160uid 1430,0
3161va (VaSet
3162)
3163xt "31800,56500,33000,57500"
3164st "'1'"
3165ju 2
3166blo "33000,57300"
3167tm "InitValueDelayMgr"
3168)
3169)
3170dt (MLText
3171uid 1431,0
3172va (VaSet
3173font "Courier New,8,0"
3174)
3175xt "44000,42800,75500,43600"
3176st "W_CS : OUT std_logic := '1' ;
3177"
3178)
3179thePort (LogicalPort
3180m 1
3181decl (Decl
3182n "W_CS"
3183t "std_logic"
3184o 52
3185suid 46,0
3186i "'1'"
3187)
3188)
3189)
3190*199 (CptPort
3191uid 1620,0
3192ps "OnEdgeStrategy"
3193shape (Triangle
3194uid 1621,0
3195ro 90
3196va (VaSet
3197vasetType 1
3198fg "0,65535,0"
3199)
3200xt "34000,57625,34750,58375"
3201)
3202tg (CPTG
3203uid 1622,0
3204ps "CptPortTextPlaceStrategy"
3205stg "RightVerticalLayoutStrategy"
3206f (Text
3207uid 1623,0
3208va (VaSet
3209)
3210xt "30600,57500,33000,58500"
3211st "MOSI"
3212ju 2
3213blo "33000,58300"
3214tm "CptPortNameMgr"
3215)
3216t (Text
3217uid 1624,0
3218va (VaSet
3219)
3220xt "31800,58500,33000,59500"
3221st "'0'"
3222ju 2
3223blo "33000,59300"
3224tm "InitValueDelayMgr"
3225)
3226)
3227dt (MLText
3228uid 1625,0
3229va (VaSet
3230font "Courier New,8,0"
3231)
3232xt "44000,29200,75500,30000"
3233st "MOSI : OUT std_logic := '0' ;
3234"
3235)
3236thePort (LogicalPort
3237m 1
3238decl (Decl
3239n "MOSI"
3240t "std_logic"
3241o 35
3242suid 47,0
3243i "'0'"
3244)
3245)
3246)
3247*200 (CptPort
3248uid 1626,0
3249ps "OnEdgeStrategy"
3250shape (Diamond
3251uid 1627,0
3252ro 90
3253va (VaSet
3254vasetType 1
3255fg "0,65535,0"
3256)
3257xt "34000,59625,34750,60375"
3258)
3259tg (CPTG
3260uid 1628,0
3261ps "CptPortTextPlaceStrategy"
3262stg "RightVerticalLayoutStrategy"
3263f (Text
3264uid 1629,0
3265va (VaSet
3266)
3267xt "30600,59500,33000,60500"
3268st "MISO"
3269ju 2
3270blo "33000,60300"
3271tm "CptPortNameMgr"
3272)
3273)
3274dt (MLText
3275uid 1630,0
3276va (VaSet
3277font "Courier New,8,0"
3278)
3279xt "44000,46000,62000,46800"
3280st "MISO : INOUT std_logic ;
3281"
3282)
3283thePort (LogicalPort
3284m 2
3285decl (Decl
3286n "MISO"
3287t "std_logic"
3288preAdd 0
3289posAdd 0
3290o 56
3291suid 48,0
3292)
3293)
3294)
3295*201 (CptPort
3296uid 1676,0
3297ps "OnEdgeStrategy"
3298shape (Triangle
3299uid 1677,0
3300ro 90
3301va (VaSet
3302vasetType 1
3303fg "0,65535,0"
3304)
3305xt "34000,61625,34750,62375"
3306)
3307tg (CPTG
3308uid 1678,0
3309ps "CptPortTextPlaceStrategy"
3310stg "RightVerticalLayoutStrategy"
3311f (Text
3312uid 1679,0
3313va (VaSet
3314)
3315xt "30000,61500,33000,62500"
3316st "TRG_V"
3317ju 2
3318blo "33000,62300"
3319tm "CptPortNameMgr"
3320)
3321)
3322dt (MLText
3323uid 1680,0
3324va (VaSet
3325font "Courier New,8,0"
3326)
3327xt "44000,41200,62000,42000"
3328st "TRG_V : OUT std_logic ;
3329"
3330)
3331thePort (LogicalPort
3332m 1
3333decl (Decl
3334n "TRG_V"
3335t "std_logic"
3336o 50
3337suid 49,0
3338)
3339)
3340)
3341*202 (CptPort
3342uid 1681,0
3343ps "OnEdgeStrategy"
3344shape (Triangle
3345uid 1682,0
3346ro 90
3347va (VaSet
3348vasetType 1
3349fg "0,65535,0"
3350)
3351xt "34000,63625,34750,64375"
3352)
3353tg (CPTG
3354uid 1683,0
3355ps "CptPortTextPlaceStrategy"
3356stg "RightVerticalLayoutStrategy"
3357f (Text
3358uid 1684,0
3359va (VaSet
3360)
3361xt "27400,63500,33000,64500"
3362st "RS485_C_RE"
3363ju 2
3364blo "33000,64300"
3365tm "CptPortNameMgr"
3366)
3367)
3368dt (MLText
3369uid 1685,0
3370va (VaSet
3371font "Courier New,8,0"
3372)
3373xt "44000,33200,62000,34000"
3374st "RS485_C_RE : OUT std_logic ;
3375"
3376)
3377thePort (LogicalPort
3378m 1
3379decl (Decl
3380n "RS485_C_RE"
3381t "std_logic"
3382o 40
3383suid 50,0
3384)
3385)
3386)
3387*203 (CptPort
3388uid 1686,0
3389ps "OnEdgeStrategy"
3390shape (Triangle
3391uid 1687,0
3392ro 90
3393va (VaSet
3394vasetType 1
3395fg "0,65535,0"
3396)
3397xt "34000,65625,34750,66375"
3398)
3399tg (CPTG
3400uid 1688,0
3401ps "CptPortTextPlaceStrategy"
3402stg "RightVerticalLayoutStrategy"
3403f (Text
3404uid 1689,0
3405va (VaSet
3406)
3407xt "27400,65500,33000,66500"
3408st "RS485_C_DE"
3409ju 2
3410blo "33000,66300"
3411tm "CptPortNameMgr"
3412)
3413)
3414dt (MLText
3415uid 1690,0
3416va (VaSet
3417font "Courier New,8,0"
3418)
3419xt "44000,31600,62000,32400"
3420st "RS485_C_DE : OUT std_logic ;
3421"
3422)
3423thePort (LogicalPort
3424m 1
3425decl (Decl
3426n "RS485_C_DE"
3427t "std_logic"
3428o 38
3429suid 51,0
3430)
3431)
3432)
3433*204 (CptPort
3434uid 1691,0
3435ps "OnEdgeStrategy"
3436shape (Triangle
3437uid 1692,0
3438ro 90
3439va (VaSet
3440vasetType 1
3441fg "0,65535,0"
3442)
3443xt "34000,67625,34750,68375"
3444)
3445tg (CPTG
3446uid 1693,0
3447ps "CptPortTextPlaceStrategy"
3448stg "RightVerticalLayoutStrategy"
3449f (Text
3450uid 1694,0
3451va (VaSet
3452)
3453xt "27500,67500,33000,68500"
3454st "RS485_E_RE"
3455ju 2
3456blo "33000,68300"
3457tm "CptPortNameMgr"
3458)
3459)
3460dt (MLText
3461uid 1695,0
3462va (VaSet
3463font "Courier New,8,0"
3464)
3465xt "44000,34800,62000,35600"
3466st "RS485_E_RE : OUT std_logic ;
3467"
3468)
3469thePort (LogicalPort
3470m 1
3471decl (Decl
3472n "RS485_E_RE"
3473t "std_logic"
3474o 42
3475suid 52,0
3476)
3477)
3478)
3479*205 (CptPort
3480uid 1696,0
3481ps "OnEdgeStrategy"
3482shape (Triangle
3483uid 1697,0
3484ro 90
3485va (VaSet
3486vasetType 1
3487fg "0,65535,0"
3488)
3489xt "34000,69625,34750,70375"
3490)
3491tg (CPTG
3492uid 1698,0
3493ps "CptPortTextPlaceStrategy"
3494stg "RightVerticalLayoutStrategy"
3495f (Text
3496uid 1699,0
3497va (VaSet
3498)
3499xt "27500,69500,33000,70500"
3500st "RS485_E_DE"
3501ju 2
3502blo "33000,70300"
3503tm "CptPortNameMgr"
3504)
3505)
3506dt (MLText
3507uid 1700,0
3508va (VaSet
3509font "Courier New,8,0"
3510)
3511xt "44000,34000,62000,34800"
3512st "RS485_E_DE : OUT std_logic ;
3513"
3514)
3515thePort (LogicalPort
3516m 1
3517decl (Decl
3518n "RS485_E_DE"
3519t "std_logic"
3520o 41
3521suid 53,0
3522)
3523)
3524)
3525*206 (CptPort
3526uid 1701,0
3527ps "OnEdgeStrategy"
3528shape (Triangle
3529uid 1702,0
3530ro 90
3531va (VaSet
3532vasetType 1
3533fg "0,65535,0"
3534)
3535xt "34000,71625,34750,72375"
3536)
3537tg (CPTG
3538uid 1703,0
3539ps "CptPortTextPlaceStrategy"
3540stg "RightVerticalLayoutStrategy"
3541f (Text
3542uid 1704,0
3543va (VaSet
3544)
3545xt "29000,71500,33000,72500"
3546st "DENABLE"
3547ju 2
3548blo "33000,72300"
3549tm "CptPortNameMgr"
3550)
3551t (Text
3552uid 1919,0
3553va (VaSet
3554)
3555xt "31800,72500,33000,73500"
3556st "'0'"
3557ju 2
3558blo "33000,73300"
3559tm "InitValueDelayMgr"
3560)
3561)
3562dt (MLText
3563uid 1705,0
3564va (VaSet
3565font "Courier New,8,0"
3566)
3567xt "44000,23600,75500,24400"
3568st "DENABLE : OUT std_logic := '0' ;
3569"
3570)
3571thePort (LogicalPort
3572m 1
3573decl (Decl
3574n "DENABLE"
3575t "std_logic"
3576o 28
3577suid 54,0
3578i "'0'"
3579)
3580)
3581)
3582*207 (CptPort
3583uid 1706,0
3584ps "OnEdgeStrategy"
3585shape (Triangle
3586uid 1707,0
3587ro 90
3588va (VaSet
3589vasetType 1
3590fg "0,65535,0"
3591)
3592xt "34000,73625,34750,74375"
3593)
3594tg (CPTG
3595uid 1708,0
3596ps "CptPortTextPlaceStrategy"
3597stg "RightVerticalLayoutStrategy"
3598f (Text
3599uid 1709,0
3600va (VaSet
3601)
3602xt "30700,73500,33000,74500"
3603st "SRIN"
3604ju 2
3605blo "33000,74300"
3606tm "CptPortNameMgr"
3607)
3608t (Text
3609uid 3982,0
3610va (VaSet
3611)
3612xt "31800,74500,33000,75500"
3613st "'0'"
3614ju 2
3615blo "33000,75300"
3616tm "InitValueDelayMgr"
3617)
3618)
3619dt (MLText
3620uid 1710,0
3621va (VaSet
3622font "Courier New,8,0"
3623)
3624xt "44000,36400,75500,37200"
3625st "SRIN : OUT std_logic := '0' ;
3626"
3627)
3628thePort (LogicalPort
3629m 1
3630decl (Decl
3631n "SRIN"
3632t "std_logic"
3633o 44
3634suid 55,0
3635i "'0'"
3636)
3637)
3638)
3639*208 (CptPort
3640uid 1711,0
3641ps "OnEdgeStrategy"
3642shape (Triangle
3643uid 1712,0
3644ro 90
3645va (VaSet
3646vasetType 1
3647fg "0,65535,0"
3648)
3649xt "34000,75625,34750,76375"
3650)
3651tg (CPTG
3652uid 1713,0
3653ps "CptPortTextPlaceStrategy"
3654stg "RightVerticalLayoutStrategy"
3655f (Text
3656uid 1714,0
3657va (VaSet
3658)
3659xt "30100,75500,33000,76500"
3660st "EE_CS"
3661ju 2
3662blo "33000,76300"
3663tm "CptPortNameMgr"
3664)
3665)
3666dt (MLText
3667uid 1715,0
3668va (VaSet
3669font "Courier New,8,0"
3670)
3671xt "44000,27600,62000,28400"
3672st "EE_CS : OUT std_logic ;
3673"
3674)
3675thePort (LogicalPort
3676m 1
3677decl (Decl
3678n "EE_CS"
3679t "std_logic"
3680o 33
3681suid 56,0
3682)
3683)
3684)
3685*209 (CptPort
3686uid 2068,0
3687ps "OnEdgeStrategy"
3688shape (Triangle
3689uid 2069,0
3690ro 90
3691va (VaSet
3692vasetType 1
3693fg "0,65535,0"
3694)
3695xt "34000,91625,34750,92375"
3696)
3697tg (CPTG
3698uid 2070,0
3699ps "CptPortTextPlaceStrategy"
3700stg "RightVerticalLayoutStrategy"
3701f (Text
3702uid 2071,0
3703va (VaSet
3704)
3705xt "28500,91500,33000,92500"
3706st "D_T : (7:0)"
3707ju 2
3708blo "33000,92300"
3709tm "CptPortNameMgr"
3710)
3711t (Text
3712uid 2072,0
3713va (VaSet
3714)
3715xt "26100,92500,33000,93500"
3716st "(OTHERS => '0')"
3717ju 2
3718blo "33000,93300"
3719tm "InitValueDelayMgr"
3720)
3721)
3722dt (MLText
3723uid 2073,0
3724va (VaSet
3725font "Courier New,8,0"
3726)
3727xt "44000,26000,81500,26800"
3728st "D_T : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;
3729"
3730)
3731thePort (LogicalPort
3732m 1
3733decl (Decl
3734n "D_T"
3735t "std_logic_vector"
3736b "(7 DOWNTO 0)"
3737o 31
3738suid 61,0
3739i "(OTHERS => '0')"
3740)
3741)
3742)
3743*210 (CptPort
3744uid 2919,0
3745ps "OnEdgeStrategy"
3746shape (Triangle
3747uid 2920,0
3748ro 90
3749va (VaSet
3750vasetType 1
3751fg "0,65535,0"
3752)
3753xt "14250,45625,15000,46375"
3754)
3755tg (CPTG
3756uid 2921,0
3757ps "CptPortTextPlaceStrategy"
3758stg "VerticalLayoutStrategy"
3759f (Text
3760uid 2922,0
3761va (VaSet
3762)
3763xt "16000,45500,22800,46500"
3764st "D_PLLLCK : (3:0)"
3765blo "16000,46300"
3766tm "CptPortNameMgr"
3767)
3768)
3769dt (MLText
3770uid 2923,0
3771va (VaSet
3772font "Courier New,8,0"
3773)
3774xt "44000,9200,72000,10000"
3775st "D_PLLLCK : IN std_logic_vector (3 DOWNTO 0) ;
3776"
3777)
3778thePort (LogicalPort
3779decl (Decl
3780n "D_PLLLCK"
3781t "std_logic_vector"
3782b "(3 DOWNTO 0)"
3783o 10
3784suid 64,0
3785)
3786)
3787)
3788*211 (CptPort
3789uid 2949,0
3790ps "OnEdgeStrategy"
3791shape (Triangle
3792uid 2950,0
3793ro 90
3794va (VaSet
3795vasetType 1
3796fg "0,65535,0"
3797)
3798xt "34000,95625,34750,96375"
3799)
3800tg (CPTG
3801uid 2951,0
3802ps "CptPortTextPlaceStrategy"
3803stg "RightVerticalLayoutStrategy"
3804f (Text
3805uid 2952,0
3806va (VaSet
3807)
3808xt "28100,95500,33000,96500"
3809st "D_T2 : (3:0)"
3810ju 2
3811blo "33000,96300"
3812tm "CptPortNameMgr"
3813)
3814t (Text
3815uid 2953,0
3816va (VaSet
3817)
3818xt "27300,96500,33000,97500"
3819st "(others => '0')"
3820ju 2
3821blo "33000,97300"
3822tm "InitValueDelayMgr"
3823)
3824)
3825dt (MLText
3826uid 2954,0
3827va (VaSet
3828font "Courier New,8,0"
3829)
3830xt "44000,26800,81500,27600"
3831st "D_T2 : OUT std_logic_vector (3 DOWNTO 0) := (others => '0') ;
3832"
3833)
3834thePort (LogicalPort
3835m 1
3836decl (Decl
3837n "D_T2"
3838t "std_logic_vector"
3839b "(3 DOWNTO 0)"
3840o 32
3841suid 65,0
3842i "(others => '0')"
3843)
3844)
3845)
3846*212 (CptPort
3847uid 3026,0
3848ps "OnEdgeStrategy"
3849shape (Triangle
3850uid 3027,0
3851ro 90
3852va (VaSet
3853vasetType 1
3854fg "0,65535,0"
3855)
3856xt "34000,97625,34750,98375"
3857)
3858tg (CPTG
3859uid 3028,0
3860ps "CptPortTextPlaceStrategy"
3861stg "RightVerticalLayoutStrategy"
3862f (Text
3863uid 3029,0
3864va (VaSet
3865)
3866xt "28200,97500,33000,98500"
3867st "A1_T : (7:0)"
3868ju 2
3869blo "33000,98300"
3870tm "CptPortNameMgr"
3871)
3872t (Text
3873uid 3123,0
3874va (VaSet
3875)
3876xt "26100,98500,33000,99500"
3877st "(OTHERS => '0')"
3878ju 2
3879blo "33000,99300"
3880tm "InitValueDelayMgr"
3881)
3882)
3883dt (MLText
3884uid 3030,0
3885va (VaSet
3886font "Courier New,8,0"
3887)
3888xt "44000,17200,81500,18000"
3889st "A1_T : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0') ;
3890"
3891)
3892thePort (LogicalPort
3893m 1
3894decl (Decl
3895n "A1_T"
3896t "std_logic_vector"
3897b "(7 DOWNTO 0)"
3898o 20
3899suid 66,0
3900i "(OTHERS => '0')"
3901)
3902)
3903)
3904*213 (CptPort
3905uid 3456,0
3906ps "OnEdgeStrategy"
3907shape (Triangle
3908uid 3457,0
3909ro 90
3910va (VaSet
3911vasetType 1
3912fg "0,65535,0"
3913)
3914xt "34000,99625,34750,100375"
3915)
3916tg (CPTG
3917uid 3458,0
3918ps "CptPortTextPlaceStrategy"
3919stg "RightVerticalLayoutStrategy"
3920f (Text
3921uid 3459,0
3922va (VaSet
3923)
3924xt "28200,99500,33000,100500"
3925st "A0_T : (7:0)"
3926ju 2
3927blo "33000,100300"
3928tm "CptPortNameMgr"
3929)
3930t (Text
3931uid 3460,0
3932va (VaSet
3933)
3934xt "27300,100500,33000,101500"
3935st "(others => '0')"
3936ju 2
3937blo "33000,101300"
3938tm "InitValueDelayMgr"
3939)
3940)
3941dt (MLText
3942uid 3461,0
3943va (VaSet
3944font "Courier New,8,0"
3945)
3946xt "44000,16400,81500,17200"
3947st "A0_T : OUT std_logic_vector (7 DOWNTO 0) := (others => '0') ;
3948"
3949)
3950thePort (LogicalPort
3951m 1
3952decl (Decl
3953n "A0_T"
3954t "std_logic_vector"
3955b "(7 DOWNTO 0)"
3956o 19
3957suid 68,0
3958i "(others => '0')"
3959)
3960)
3961)
3962*214 (CptPort
3963uid 3581,0
3964ps "OnEdgeStrategy"
3965shape (Triangle
3966uid 3582,0
3967ro 90
3968va (VaSet
3969vasetType 1
3970fg "0,65535,0"
3971)
3972xt "14250,47625,15000,48375"
3973)
3974tg (CPTG
3975uid 3583,0
3976ps "CptPortTextPlaceStrategy"
3977stg "VerticalLayoutStrategy"
3978f (Text
3979uid 3584,0
3980va (VaSet
3981)
3982xt "16000,47500,21300,48500"
3983st "RS485_C_DI"
3984blo "16000,48300"
3985tm "CptPortNameMgr"
3986)
3987)
3988dt (MLText
3989uid 3585,0
3990va (VaSet
3991font "Courier New,8,0"
3992)
3993xt "44000,11600,62000,12400"
3994st "RS485_C_DI : IN std_logic ;
3995"
3996)
3997thePort (LogicalPort
3998decl (Decl
3999n "RS485_C_DI"
4000t "std_logic"
4001o 13
4002suid 69,0
4003)
4004)
4005)
4006*215 (CptPort
4007uid 3586,0
4008ps "OnEdgeStrategy"
4009shape (Triangle
4010uid 3587,0
4011ro 90
4012va (VaSet
4013vasetType 1
4014fg "0,65535,0"
4015)
4016xt "34000,101625,34750,102375"
4017)
4018tg (CPTG
4019uid 3588,0
4020ps "CptPortTextPlaceStrategy"
4021stg "RightVerticalLayoutStrategy"
4022f (Text
4023uid 3589,0
4024va (VaSet
4025)
4026xt "27300,101500,33000,102500"
4027st "RS485_C_DO"
4028ju 2
4029blo "33000,102300"
4030tm "CptPortNameMgr"
4031)
4032)
4033dt (MLText
4034uid 3590,0
4035va (VaSet
4036font "Courier New,8,0"
4037)
4038xt "44000,32400,62000,33200"
4039st "RS485_C_DO : OUT std_logic ;
4040"
4041)
4042thePort (LogicalPort
4043m 1
4044decl (Decl
4045n "RS485_C_DO"
4046t "std_logic"
4047o 39
4048suid 70,0
4049)
4050)
4051)
4052*216 (CptPort
4053uid 3687,0
4054ps "OnEdgeStrategy"
4055shape (Triangle
4056uid 3688,0
4057ro 90
4058va (VaSet
4059vasetType 1
4060fg "0,65535,0"
4061)
4062xt "14250,49625,15000,50375"
4063)
4064tg (CPTG
4065uid 3689,0
4066ps "CptPortTextPlaceStrategy"
4067stg "VerticalLayoutStrategy"
4068f (Text
4069uid 3690,0
4070va (VaSet
4071)
4072xt "16000,49500,21200,50500"
4073st "RS485_E_DI"
4074blo "16000,50300"
4075tm "CptPortNameMgr"
4076)
4077)
4078dt (MLText
4079uid 3691,0
4080va (VaSet
4081font "Courier New,8,0"
4082)
4083xt "44000,12400,62000,13200"
4084st "RS485_E_DI : IN std_logic ;
4085"
4086)
4087thePort (LogicalPort
4088decl (Decl
4089n "RS485_E_DI"
4090t "std_logic"
4091o 14
4092suid 71,0
4093)
4094)
4095)
4096*217 (CptPort
4097uid 3692,0
4098ps "OnEdgeStrategy"
4099shape (Triangle
4100uid 3789,0
4101ro 90
4102va (VaSet
4103vasetType 1
4104fg "0,65535,0"
4105)
4106xt "14250,51625,15000,52375"
4107)
4108tg (CPTG
4109uid 3694,0
4110ps "CptPortTextPlaceStrategy"
4111stg "VerticalLayoutStrategy"
4112f (Text
4113uid 3695,0
4114va (VaSet
4115)
4116xt "16000,51500,21600,52500"
4117st "RS485_E_DO"
4118blo "16000,52300"
4119tm "CptPortNameMgr"
4120)
4121)
4122dt (MLText
4123uid 3696,0
4124va (VaSet
4125font "Courier New,8,0"
4126)
4127xt "44000,13200,62000,14000"
4128st "RS485_E_DO : IN std_logic ;
4129"
4130)
4131thePort (LogicalPort
4132decl (Decl
4133n "RS485_E_DO"
4134t "std_logic"
4135o 15
4136suid 72,0
4137)
4138)
4139)
4140*218 (CptPort
4141uid 4033,0
4142ps "OnEdgeStrategy"
4143shape (Triangle
4144uid 4034,0
4145ro 90
4146va (VaSet
4147vasetType 1
4148fg "0,65535,0"
4149)
4150xt "34000,103625,34750,104375"
4151)
4152tg (CPTG
4153uid 4035,0
4154ps "CptPortTextPlaceStrategy"
4155stg "RightVerticalLayoutStrategy"
4156f (Text
4157uid 4036,0
4158va (VaSet
4159)
4160xt "27900,103500,33000,104500"
4161st "AMBER_LED"
4162ju 2
4163blo "33000,104300"
4164tm "CptPortNameMgr"
4165)
4166)
4167dt (MLText
4168uid 4037,0
4169va (VaSet
4170font "Courier New,8,0"
4171)
4172xt "44000,18000,62000,18800"
4173st "AMBER_LED : OUT std_logic ;
4174"
4175)
4176thePort (LogicalPort
4177m 1
4178decl (Decl
4179n "AMBER_LED"
4180t "std_logic"
4181o 21
4182suid 77,0
4183)
4184)
4185)
4186*219 (CptPort
4187uid 4038,0
4188ps "OnEdgeStrategy"
4189shape (Triangle
4190uid 4039,0
4191ro 90
4192va (VaSet
4193vasetType 1
4194fg "0,65535,0"
4195)
4196xt "34000,105625,34750,106375"
4197)
4198tg (CPTG
4199uid 4040,0
4200ps "CptPortTextPlaceStrategy"
4201stg "RightVerticalLayoutStrategy"
4202f (Text
4203uid 4041,0
4204va (VaSet
4205)
4206xt "27900,105500,33000,106500"
4207st "GREEN_LED"
4208ju 2
4209blo "33000,106300"
4210tm "CptPortNameMgr"
4211)
4212)
4213dt (MLText
4214uid 4042,0
4215va (VaSet
4216font "Courier New,8,0"
4217)
4218xt "44000,28400,62000,29200"
4219st "GREEN_LED : OUT std_logic ;
4220"
4221)
4222thePort (LogicalPort
4223m 1
4224decl (Decl
4225n "GREEN_LED"
4226t "std_logic"
4227o 34
4228suid 78,0
4229)
4230)
4231)
4232*220 (CptPort
4233uid 4043,0
4234ps "OnEdgeStrategy"
4235shape (Triangle
4236uid 4044,0
4237ro 90
4238va (VaSet
4239vasetType 1
4240fg "0,65535,0"
4241)
4242xt "34000,107625,34750,108375"
4243)
4244tg (CPTG
4245uid 4045,0
4246ps "CptPortTextPlaceStrategy"
4247stg "RightVerticalLayoutStrategy"
4248f (Text
4249uid 4046,0
4250va (VaSet
4251)
4252xt "29000,107500,33000,108500"
4253st "RED_LED"
4254ju 2
4255blo "33000,108300"
4256tm "CptPortNameMgr"
4257)
4258)
4259dt (MLText
4260uid 4047,0
4261va (VaSet
4262font "Courier New,8,0"
4263)
4264xt "44000,30800,62000,31600"
4265st "RED_LED : OUT std_logic ;
4266"
4267)
4268thePort (LogicalPort
4269m 1
4270decl (Decl
4271n "RED_LED"
4272t "std_logic"
4273o 37
4274suid 79,0
4275)
4276)
4277)
4278*221 (CptPort
4279uid 4165,0
4280ps "OnEdgeStrategy"
4281shape (Triangle
4282uid 4166,0
4283ro 90
4284va (VaSet
4285vasetType 1
4286fg "0,65535,0"
4287)
4288xt "14250,53625,15000,54375"
4289)
4290tg (CPTG
4291uid 4167,0
4292ps "CptPortTextPlaceStrategy"
4293stg "VerticalLayoutStrategy"
4294f (Text
4295uid 4168,0
4296va (VaSet
4297)
4298xt "16000,53500,23900,54500"
4299st "POSITION_ID : (5:0)"
4300blo "16000,54300"
4301tm "CptPortNameMgr"
4302)
4303)
4304dt (MLText
4305uid 4169,0
4306va (VaSet
4307font "Courier New,8,0"
4308)
4309xt "44000,10000,73000,10800"
4310st "POSITION_ID : IN std_logic_vector ( 5 DOWNTO 0 ) ;
4311"
4312)
4313thePort (LogicalPort
4314decl (Decl
4315n "POSITION_ID"
4316t "std_logic_vector"
4317b "( 5 DOWNTO 0 )"
4318o 11
4319suid 80,0
4320)
4321)
4322)
4323*222 (CptPort
4324uid 4264,0
4325ps "OnEdgeStrategy"
4326shape (Triangle
4327uid 4265,0
4328ro 90
4329va (VaSet
4330vasetType 1
4331fg "0,65535,0"
4332)
4333xt "14250,55625,15000,56375"
4334)
4335tg (CPTG
4336uid 4266,0
4337ps "CptPortTextPlaceStrategy"
4338stg "VerticalLayoutStrategy"
4339f (Text
4340uid 4267,0
4341va (VaSet
4342)
4343xt "16000,55500,19500,56500"
4344st "REFCLK"
4345blo "16000,56300"
4346tm "CptPortNameMgr"
4347)
4348)
4349dt (MLText
4350uid 4268,0
4351va (VaSet
4352font "Courier New,8,0"
4353)
4354xt "44000,10800,62000,11600"
4355st "REFCLK : IN std_logic ;
4356"
4357)
4358thePort (LogicalPort
4359decl (Decl
4360n "REFCLK"
4361t "std_logic"
4362o 12
4363suid 81,0
4364)
4365)
4366)
4367]
4368shape (Rectangle
4369uid 9,0
4370va (VaSet
4371vasetType 1
4372fg "0,65535,0"
4373lineColor "0,32896,0"
4374lineWidth 2
4375)
4376xt "15000,6000,34000,109000"
4377)
4378oxt "15000,6000,33000,26000"
4379biTextGroup (BiTextGroup
4380uid 10,0
4381ps "CenterOffsetStrategy"
4382stg "VerticalLayoutStrategy"
4383first (Text
4384uid 11,0
4385va (VaSet
4386font "Arial,8,1"
4387)
4388xt "22200,15000,28400,16000"
4389st "FACT_FAD_lib"
4390blo "22200,15800"
4391)
4392second (Text
4393uid 12,0
4394va (VaSet
4395font "Arial,8,1"
4396)
4397xt "22200,16000,26900,17000"
4398st "FAD_Board"
4399blo "22200,16800"
4400)
4401)
4402gi *223 (GenericInterface
4403uid 13,0
4404ps "CenterOffsetStrategy"
4405matrix (Matrix
4406uid 14,0
4407text (MLText
4408uid 15,0
4409va (VaSet
4410font "Courier New,8,0"
4411)
4412xt "0,12000,11500,12800"
4413st "Generic Declarations"
4414)
4415header "Generic Declarations"
4416showHdrWhenContentsEmpty 1
4417)
4418elements [
4419]
4420)
4421portInstanceVisAsIs 1
4422portInstanceVis (PortSigDisplay
4423sIVOD 1
4424)
4425portVis (PortSigDisplay
4426sIVOD 1
4427)
4428)
4429*224 (Grouping
4430uid 16,0
4431optionalChildren [
4432*225 (CommentText
4433uid 18,0
4434shape (Rectangle
4435uid 19,0
4436sl 0
4437va (VaSet
4438vasetType 1
4439fg "65280,65280,46080"
4440)
4441xt "36000,48000,53000,49000"
4442)
4443oxt "18000,70000,35000,71000"
4444text (MLText
4445uid 20,0
4446va (VaSet
4447fg "0,0,32768"
4448bg "0,0,32768"
4449)
4450xt "36200,48000,45800,49000"
4451st "
4452by %user on %dd %month %year
4453"
4454tm "CommentText"
4455wrapOption 3
4456visibleHeight 1000
4457visibleWidth 17000
4458)
4459position 1
4460ignorePrefs 1
4461titleBlock 1
4462)
4463*226 (CommentText
4464uid 21,0
4465shape (Rectangle
4466uid 22,0
4467sl 0
4468va (VaSet
4469vasetType 1
4470fg "65280,65280,46080"
4471)
4472xt "53000,44000,57000,45000"
4473)
4474oxt "35000,66000,39000,67000"
4475text (MLText
4476uid 23,0
4477va (VaSet
4478fg "0,0,32768"
4479bg "0,0,32768"
4480)
4481xt "53200,44000,56200,45000"
4482st "
4483Project:
4484"
4485tm "CommentText"
4486wrapOption 3
4487visibleHeight 1000
4488visibleWidth 4000
4489)
4490position 1
4491ignorePrefs 1
4492titleBlock 1
4493)
4494*227 (CommentText
4495uid 24,0
4496shape (Rectangle
4497uid 25,0
4498sl 0
4499va (VaSet
4500vasetType 1
4501fg "65280,65280,46080"
4502)
4503xt "36000,46000,53000,47000"
4504)
4505oxt "18000,68000,35000,69000"
4506text (MLText
4507uid 26,0
4508va (VaSet
4509fg "0,0,32768"
4510bg "0,0,32768"
4511)
4512xt "36200,46000,46200,47000"
4513st "
4514<enter diagram title here>
4515"
4516tm "CommentText"
4517wrapOption 3
4518visibleHeight 1000
4519visibleWidth 17000
4520)
4521position 1
4522ignorePrefs 1
4523titleBlock 1
4524)
4525*228 (CommentText
4526uid 27,0
4527shape (Rectangle
4528uid 28,0
4529sl 0
4530va (VaSet
4531vasetType 1
4532fg "65280,65280,46080"
4533)
4534xt "32000,46000,36000,47000"
4535)
4536oxt "14000,68000,18000,69000"
4537text (MLText
4538uid 29,0
4539va (VaSet
4540fg "0,0,32768"
4541bg "0,0,32768"
4542)
4543xt "32200,46000,34300,47000"
4544st "
4545Title:
4546"
4547tm "CommentText"
4548wrapOption 3
4549visibleHeight 1000
4550visibleWidth 4000
4551)
4552position 1
4553ignorePrefs 1
4554titleBlock 1
4555)
4556*229 (CommentText
4557uid 30,0
4558shape (Rectangle
4559uid 31,0
4560sl 0
4561va (VaSet
4562vasetType 1
4563fg "65280,65280,46080"
4564)
4565xt "53000,45000,73000,49000"
4566)
4567oxt "35000,67000,55000,71000"
4568text (MLText
4569uid 32,0
4570va (VaSet
4571fg "0,0,32768"
4572bg "0,0,32768"
4573)
4574xt "53200,45200,62400,46200"
4575st "
4576<enter comments here>
4577"
4578tm "CommentText"
4579wrapOption 3
4580visibleHeight 4000
4581visibleWidth 20000
4582)
4583ignorePrefs 1
4584titleBlock 1
4585)
4586*230 (CommentText
4587uid 33,0
4588shape (Rectangle
4589uid 34,0
4590sl 0
4591va (VaSet
4592vasetType 1
4593fg "65280,65280,46080"
4594)
4595xt "57000,44000,73000,45000"
4596)
4597oxt "39000,66000,55000,67000"
4598text (MLText
4599uid 35,0
4600va (VaSet
4601fg "0,0,32768"
4602bg "0,0,32768"
4603)
4604xt "57200,44000,61700,45000"
4605st "
4606%project_name
4607"
4608tm "CommentText"
4609wrapOption 3
4610visibleHeight 1000
4611visibleWidth 16000
4612)
4613position 1
4614ignorePrefs 1
4615titleBlock 1
4616)
4617*231 (CommentText
4618uid 36,0
4619shape (Rectangle
4620uid 37,0
4621sl 0
4622va (VaSet
4623vasetType 1
4624fg "65280,65280,46080"
4625)
4626xt "32000,44000,53000,46000"
4627)
4628oxt "14000,66000,35000,68000"
4629text (MLText
4630uid 38,0
4631va (VaSet
4632fg "32768,0,0"
4633)
4634xt "39700,44000,45300,46000"
4635st "
4636TU Dortmund
4637Physik / EE
4638"
4639ju 0
4640tm "CommentText"
4641wrapOption 3
4642visibleHeight 2000
4643visibleWidth 21000
4644)
4645position 1
4646ignorePrefs 1
4647titleBlock 1
4648)
4649*232 (CommentText
4650uid 39,0
4651shape (Rectangle
4652uid 40,0
4653sl 0
4654va (VaSet
4655vasetType 1
4656fg "65280,65280,46080"
4657)
4658xt "32000,47000,36000,48000"
4659)
4660oxt "14000,69000,18000,70000"
4661text (MLText
4662uid 41,0
4663va (VaSet
4664fg "0,0,32768"
4665bg "0,0,32768"
4666)
4667xt "32200,47000,34300,48000"
4668st "
4669Path:
4670"
4671tm "CommentText"
4672wrapOption 3
4673visibleHeight 1000
4674visibleWidth 4000
4675)
4676position 1
4677ignorePrefs 1
4678titleBlock 1
4679)
4680*233 (CommentText
4681uid 42,0
4682shape (Rectangle
4683uid 43,0
4684sl 0
4685va (VaSet
4686vasetType 1
4687fg "65280,65280,46080"
4688)
4689xt "32000,48000,36000,49000"
4690)
4691oxt "14000,70000,18000,71000"
4692text (MLText
4693uid 44,0
4694va (VaSet
4695fg "0,0,32768"
4696bg "0,0,32768"
4697)
4698xt "32200,48000,34900,49000"
4699st "
4700Edited:
4701"
4702tm "CommentText"
4703wrapOption 3
4704visibleHeight 1000
4705visibleWidth 4000
4706)
4707position 1
4708ignorePrefs 1
4709titleBlock 1
4710)
4711*234 (CommentText
4712uid 45,0
4713shape (Rectangle
4714uid 46,0
4715sl 0
4716va (VaSet
4717vasetType 1
4718fg "65280,65280,46080"
4719)
4720xt "36000,47000,53000,48000"
4721)
4722oxt "18000,69000,35000,70000"
4723text (MLText
4724uid 47,0
4725va (VaSet
4726fg "0,0,32768"
4727bg "0,0,32768"
4728)
4729xt "36200,47000,49400,48000"
4730st "
4731%library/%unit/%view
4732"
4733tm "CommentText"
4734wrapOption 3
4735visibleHeight 1000
4736visibleWidth 17000
4737)
4738position 1
4739ignorePrefs 1
4740titleBlock 1
4741)
4742]
4743shape (GroupingShape
4744uid 17,0
4745va (VaSet
4746vasetType 1
4747fg "65535,65535,65535"
4748lineStyle 2
4749lineWidth 2
4750)
4751xt "32000,44000,73000,49000"
4752)
4753oxt "14000,66000,55000,71000"
4754)
4755]
4756bg "65535,65535,65535"
4757grid (Grid
4758origin "0,0"
4759isVisible 1
4760isActive 1
4761xSpacing 1000
4762xySpacing 1000
4763xShown 1
4764yShown 1
4765color "26368,26368,26368"
4766)
4767packageList *235 (PackageList
4768uid 48,0
4769stg "VerticalLayoutStrategy"
4770textVec [
4771*236 (Text
4772uid 49,0
4773va (VaSet
4774font "Arial,8,1"
4775)
4776xt "0,0,5400,1000"
4777st "Package List"
4778blo "0,800"
4779)
4780*237 (MLText
4781uid 50,0
4782va (VaSet
4783)
4784xt "0,1000,10900,4000"
4785st "LIBRARY ieee;
4786USE ieee.std_logic_1164.all;
4787USE ieee.std_logic_arith.all;"
4788tm "PackageList"
4789)
4790]
4791)
4792windowSize "0,0,1015,690"
4793viewArea "0,0,0,0"
4794cachedDiagramExtent "0,0,0,0"
4795pageBreakOrigin "0,0"
4796defaultCommentText (CommentText
4797shape (Rectangle
4798layer 0
4799va (VaSet
4800vasetType 1
4801fg "65280,65280,46080"
4802lineColor "0,0,32768"
4803)
4804xt "0,0,15000,5000"
4805)
4806text (MLText
4807va (VaSet
4808fg "0,0,32768"
4809)
4810xt "200,200,2000,1200"
4811st "
4812Text
4813"
4814tm "CommentText"
4815wrapOption 3
4816visibleHeight 4600
4817visibleWidth 14600
4818)
4819)
4820defaultPanel (Panel
4821shape (RectFrame
4822va (VaSet
4823vasetType 1
4824fg "65535,65535,65535"
4825lineColor "32768,0,0"
4826lineWidth 3
4827)
4828xt "0,0,20000,20000"
4829)
4830title (TextAssociate
4831ps "TopLeftStrategy"
4832text (Text
4833va (VaSet
4834font "Arial,8,1"
4835)
4836xt "1000,1000,3800,2000"
4837st "Panel0"
4838blo "1000,1800"
4839tm "PanelText"
4840)
4841)
4842)
4843parentGraphicsRef (HdmGraphicsRef
4844libraryName ""
4845entityName ""
4846viewName ""
4847)
4848defaultSymbolBody (SymbolBody
4849shape (Rectangle
4850va (VaSet
4851vasetType 1
4852fg "0,65535,0"
4853lineColor "0,32896,0"
4854lineWidth 2
4855)
4856xt "15000,6000,33000,26000"
4857)
4858biTextGroup (BiTextGroup
4859ps "CenterOffsetStrategy"
4860stg "VerticalLayoutStrategy"
4861first (Text
4862va (VaSet
4863font "Arial,8,1"
4864)
4865xt "22200,15000,25800,16000"
4866st "<library>"
4867blo "22200,15800"
4868)
4869second (Text
4870va (VaSet
4871font "Arial,8,1"
4872)
4873xt "22200,16000,24800,17000"
4874st "<cell>"
4875blo "22200,16800"
4876)
4877)
4878gi *238 (GenericInterface
4879ps "CenterOffsetStrategy"
4880matrix (Matrix
4881text (MLText
4882va (VaSet
4883font "Courier New,8,0"
4884)
4885xt "0,12000,11500,12800"
4886st "Generic Declarations"
4887)
4888header "Generic Declarations"
4889showHdrWhenContentsEmpty 1
4890)
4891elements [
4892]
4893)
4894portInstanceVisAsIs 1
4895portInstanceVis (PortSigDisplay
4896sIVOD 1
4897)
4898portVis (PortSigDisplay
4899sIVOD 1
4900)
4901)
4902defaultCptPort (CptPort
4903ps "OnEdgeStrategy"
4904shape (Triangle
4905ro 90
4906va (VaSet
4907vasetType 1
4908fg "0,65535,0"
4909)
4910xt "0,0,750,750"
4911)
4912tg (CPTG
4913ps "CptPortTextPlaceStrategy"
4914stg "VerticalLayoutStrategy"
4915f (Text
4916va (VaSet
4917)
4918xt "0,750,1400,1750"
4919st "In0"
4920blo "0,1550"
4921tm "CptPortNameMgr"
4922)
4923)
4924dt (MLText
4925va (VaSet
4926font "Courier New,8,0"
4927)
4928)
4929thePort (LogicalPort
4930decl (Decl
4931n "In0"
4932t "std_logic_vector"
4933b "(15 DOWNTO 0)"
4934o 0
4935)
4936)
4937)
4938defaultCptPortBuffer (CptPort
4939ps "OnEdgeStrategy"
4940shape (Diamond
4941va (VaSet
4942vasetType 1
4943fg "65535,65535,65535"
4944bg "0,0,0"
4945)
4946xt "0,0,750,750"
4947)
4948tg (CPTG
4949ps "CptPortTextPlaceStrategy"
4950stg "VerticalLayoutStrategy"
4951f (Text
4952va (VaSet
4953)
4954xt "0,750,2800,1750"
4955st "Buffer0"
4956blo "0,1550"
4957tm "CptPortNameMgr"
4958)
4959)
4960dt (MLText
4961va (VaSet
4962font "Courier New,8,0"
4963)
4964)
4965thePort (LogicalPort
4966m 3
4967decl (Decl
4968n "Buffer0"
4969t "std_logic_vector"
4970b "(15 DOWNTO 0)"
4971o 0
4972)
4973)
4974)
4975DeclarativeBlock *239 (SymDeclBlock
4976uid 1,0
4977stg "SymDeclLayoutStrategy"
4978declLabel (Text
4979uid 2,0
4980va (VaSet
4981font "Arial,8,1"
4982)
4983xt "42000,0,47400,1000"
4984st "Declarations"
4985blo "42000,800"
4986)
4987portLabel (Text
4988uid 3,0
4989va (VaSet
4990font "Arial,8,1"
4991)
4992xt "42000,1000,44700,2000"
4993st "Ports:"
4994blo "42000,1800"
4995)
4996externalLabel (Text
4997uid 4,0
4998va (VaSet
4999font "Arial,8,1"
5000)
5001xt "42000,47600,44400,48600"
5002st "User:"
5003blo "42000,48400"
5004)
5005internalLabel (Text
5006uid 6,0
5007va (VaSet
5008isHidden 1
5009font "Arial,8,1"
5010)
5011xt "42000,0,47800,1000"
5012st "Internal User:"
5013blo "42000,800"
5014)
5015externalText (MLText
5016uid 5,0
5017va (VaSet
5018font "Courier New,8,0"
5019)
5020xt "44000,48600,44000,48600"
5021tm "SyDeclarativeTextMgr"
5022)
5023internalText (MLText
5024uid 7,0
5025va (VaSet
5026isHidden 1
5027font "Courier New,8,0"
5028)
5029xt "42000,0,42000,0"
5030tm "SyDeclarativeTextMgr"
5031)
5032)
5033lastUid 4268,0
5034activeModelName "Symbol:CDM"
5035)
Note: See TracBrowser for help on using the repository browser.