source: firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/clock_generator_var_ps/struct.bd@ 10121

Last change on this file since 10121 was 10121, checked in by neise, 13 years ago
synchronous trigger handling added continous soft trigger generation. ---> control frequency via 'send 0x21??' each step increases trigger delay by 12.5ms 0x2100 = 40Hz 0x21FF = 0.3Hz
File size: 91.6 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13(DmPackageRef
14library "ieee"
15unitName "numeric_std"
16)
17]
18instances [
19(Instance
20name "U_1"
21duLibraryName "FACT_FAD_lib"
22duName "dcm_ps_38ns"
23elements [
24]
25mwi 0
26uid 354,0
27)
28(Instance
29name "U_0"
30duLibraryName "FACT_FAD_lib"
31duName "dcm_50_to_25"
32elements [
33]
34mwi 0
35uid 403,0
36)
37(Instance
38name "U_2"
39duLibraryName "FACT_FAD_lib"
40duName "dcm_var_ps_38ns"
41elements [
42]
43mwi 0
44uid 514,0
45)
46(Instance
47name "U_4"
48duLibraryName "FACT_FAD_lib"
49duName "phase_shifter"
50elements [
51]
52mwi 0
53uid 826,0
54)
55(Instance
56name "U_5"
57duLibraryName "moduleware"
58duName "assignment"
59elements [
60]
61mwi 1
62uid 1058,0
63)
64(Instance
65name "U_7"
66duLibraryName "moduleware"
67duName "assignment"
68elements [
69]
70mwi 1
71uid 1112,0
72)
73(Instance
74name "U_8"
75duLibraryName "moduleware"
76duName "assignment"
77elements [
78]
79mwi 1
80uid 1149,0
81)
82(Instance
83name "U_9"
84duLibraryName "moduleware"
85duName "assignment"
86elements [
87]
88mwi 1
89uid 1186,0
90)
91]
92libraryRefs [
93"ieee"
94"UNISIM"
95]
96)
97version "29.1"
98appVersion "2009.2 (Build 10)"
99noEmbeddedEditors 1
100model (BlockDiag
101VExpander (VariableExpander
102vvMap [
103(vvPair
104variable "HDLDir"
105value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
106)
107(vvPair
108variable "HDSDir"
109value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
110)
111(vvPair
112variable "SideDataDesignDir"
113value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\clock_generator_var_ps\\struct.bd.info"
114)
115(vvPair
116variable "SideDataUserDir"
117value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\clock_generator_var_ps\\struct.bd.user"
118)
119(vvPair
120variable "SourceDir"
121value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
122)
123(vvPair
124variable "appl"
125value "HDL Designer"
126)
127(vvPair
128variable "arch_name"
129value "struct"
130)
131(vvPair
132variable "config"
133value "%(unit)_%(view)_config"
134)
135(vvPair
136variable "d"
137value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\clock_generator_var_ps"
138)
139(vvPair
140variable "d_logical"
141value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\clock_generator_var_ps"
142)
143(vvPair
144variable "date"
145value "26.01.2011"
146)
147(vvPair
148variable "day"
149value "Mi"
150)
151(vvPair
152variable "day_long"
153value "Mittwoch"
154)
155(vvPair
156variable "dd"
157value "26"
158)
159(vvPair
160variable "entity_name"
161value "clock_generator_var_ps"
162)
163(vvPair
164variable "ext"
165value "<TBD>"
166)
167(vvPair
168variable "f"
169value "struct.bd"
170)
171(vvPair
172variable "f_logical"
173value "struct.bd"
174)
175(vvPair
176variable "f_noext"
177value "struct"
178)
179(vvPair
180variable "group"
181value "UNKNOWN"
182)
183(vvPair
184variable "host"
185value "E5B-LABOR6"
186)
187(vvPair
188variable "language"
189value "VHDL"
190)
191(vvPair
192variable "library"
193value "FACT_FAD_lib"
194)
195(vvPair
196variable "library_downstream_HdsLintPlugin"
197value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
198)
199(vvPair
200variable "library_downstream_ISEPARInvoke"
201value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
202)
203(vvPair
204variable "library_downstream_ImpactInvoke"
205value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
206)
207(vvPair
208variable "library_downstream_ModelSimCompiler"
209value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
210)
211(vvPair
212variable "library_downstream_XSTDataPrep"
213value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
214)
215(vvPair
216variable "mm"
217value "01"
218)
219(vvPair
220variable "module_name"
221value "clock_generator_var_ps"
222)
223(vvPair
224variable "month"
225value "Jan"
226)
227(vvPair
228variable "month_long"
229value "Januar"
230)
231(vvPair
232variable "p"
233value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\clock_generator_var_ps\\struct.bd"
234)
235(vvPair
236variable "p_logical"
237value "C:\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\clock_generator_var_ps\\struct.bd"
238)
239(vvPair
240variable "package_name"
241value "<Undefined Variable>"
242)
243(vvPair
244variable "project_name"
245value "FACT_FAD"
246)
247(vvPair
248variable "series"
249value "HDL Designer Series"
250)
251(vvPair
252variable "task_DesignCompilerPath"
253value "<TBD>"
254)
255(vvPair
256variable "task_LeonardoPath"
257value "<TBD>"
258)
259(vvPair
260variable "task_ModelSimPath"
261value "<TBD>"
262)
263(vvPair
264variable "task_NC-SimPath"
265value "<TBD>"
266)
267(vvPair
268variable "task_PrecisionRTLPath"
269value "<TBD>"
270)
271(vvPair
272variable "task_QuestaSimPath"
273value "<TBD>"
274)
275(vvPair
276variable "task_VCSPath"
277value "<TBD>"
278)
279(vvPair
280variable "this_ext"
281value "bd"
282)
283(vvPair
284variable "this_file"
285value "struct"
286)
287(vvPair
288variable "this_file_logical"
289value "struct"
290)
291(vvPair
292variable "time"
293value "11:56:53"
294)
295(vvPair
296variable "unit"
297value "clock_generator_var_ps"
298)
299(vvPair
300variable "user"
301value "dneise"
302)
303(vvPair
304variable "version"
305value "2009.2 (Build 10)"
306)
307(vvPair
308variable "view"
309value "struct"
310)
311(vvPair
312variable "year"
313value "2011"
314)
315(vvPair
316variable "yy"
317value "11"
318)
319]
320)
321LanguageMgr "VhdlLangMgr"
322uid 52,0
323optionalChildren [
324*1 (Grouping
325uid 9,0
326optionalChildren [
327*2 (CommentText
328uid 11,0
329shape (Rectangle
330uid 12,0
331sl 0
332va (VaSet
333vasetType 1
334fg "65280,65280,46080"
335)
336xt "64000,7000,81000,8000"
337)
338oxt "18000,70000,35000,71000"
339text (MLText
340uid 13,0
341va (VaSet
342fg "0,0,32768"
343bg "0,0,32768"
344)
345xt "64200,7000,73800,8000"
346st "
347by %user on %dd %month %year
348"
349tm "CommentText"
350wrapOption 3
351visibleHeight 1000
352visibleWidth 17000
353)
354position 1
355ignorePrefs 1
356titleBlock 1
357)
358*3 (CommentText
359uid 14,0
360shape (Rectangle
361uid 15,0
362sl 0
363va (VaSet
364vasetType 1
365fg "65280,65280,46080"
366)
367xt "81000,3000,85000,4000"
368)
369oxt "35000,66000,39000,67000"
370text (MLText
371uid 16,0
372va (VaSet
373fg "0,0,32768"
374bg "0,0,32768"
375)
376xt "81200,3000,84200,4000"
377st "
378Project:
379"
380tm "CommentText"
381wrapOption 3
382visibleHeight 1000
383visibleWidth 4000
384)
385position 1
386ignorePrefs 1
387titleBlock 1
388)
389*4 (CommentText
390uid 17,0
391shape (Rectangle
392uid 18,0
393sl 0
394va (VaSet
395vasetType 1
396fg "65280,65280,46080"
397)
398xt "64000,5000,81000,6000"
399)
400oxt "18000,68000,35000,69000"
401text (MLText
402uid 19,0
403va (VaSet
404fg "0,0,32768"
405bg "0,0,32768"
406)
407xt "64200,5000,74200,6000"
408st "
409<enter diagram title here>
410"
411tm "CommentText"
412wrapOption 3
413visibleHeight 1000
414visibleWidth 17000
415)
416position 1
417ignorePrefs 1
418titleBlock 1
419)
420*5 (CommentText
421uid 20,0
422shape (Rectangle
423uid 21,0
424sl 0
425va (VaSet
426vasetType 1
427fg "65280,65280,46080"
428)
429xt "60000,5000,64000,6000"
430)
431oxt "14000,68000,18000,69000"
432text (MLText
433uid 22,0
434va (VaSet
435fg "0,0,32768"
436bg "0,0,32768"
437)
438xt "60200,5000,62300,6000"
439st "
440Title:
441"
442tm "CommentText"
443wrapOption 3
444visibleHeight 1000
445visibleWidth 4000
446)
447position 1
448ignorePrefs 1
449titleBlock 1
450)
451*6 (CommentText
452uid 23,0
453shape (Rectangle
454uid 24,0
455sl 0
456va (VaSet
457vasetType 1
458fg "65280,65280,46080"
459)
460xt "81000,4000,101000,8000"
461)
462oxt "35000,67000,55000,71000"
463text (MLText
464uid 25,0
465va (VaSet
466fg "0,0,32768"
467bg "0,0,32768"
468)
469xt "81200,4200,90400,5200"
470st "
471<enter comments here>
472"
473tm "CommentText"
474wrapOption 3
475visibleHeight 4000
476visibleWidth 20000
477)
478ignorePrefs 1
479titleBlock 1
480)
481*7 (CommentText
482uid 26,0
483shape (Rectangle
484uid 27,0
485sl 0
486va (VaSet
487vasetType 1
488fg "65280,65280,46080"
489)
490xt "85000,3000,101000,4000"
491)
492oxt "39000,66000,55000,67000"
493text (MLText
494uid 28,0
495va (VaSet
496fg "0,0,32768"
497bg "0,0,32768"
498)
499xt "85200,3000,89700,4000"
500st "
501%project_name
502"
503tm "CommentText"
504wrapOption 3
505visibleHeight 1000
506visibleWidth 16000
507)
508position 1
509ignorePrefs 1
510titleBlock 1
511)
512*8 (CommentText
513uid 29,0
514shape (Rectangle
515uid 30,0
516sl 0
517va (VaSet
518vasetType 1
519fg "65280,65280,46080"
520)
521xt "60000,3000,81000,5000"
522)
523oxt "14000,66000,35000,68000"
524text (MLText
525uid 31,0
526va (VaSet
527fg "32768,0,0"
528)
529xt "67150,3500,73850,4500"
530st "
531<company name>
532"
533ju 0
534tm "CommentText"
535wrapOption 3
536visibleHeight 2000
537visibleWidth 21000
538)
539position 1
540ignorePrefs 1
541titleBlock 1
542)
543*9 (CommentText
544uid 32,0
545shape (Rectangle
546uid 33,0
547sl 0
548va (VaSet
549vasetType 1
550fg "65280,65280,46080"
551)
552xt "60000,6000,64000,7000"
553)
554oxt "14000,69000,18000,70000"
555text (MLText
556uid 34,0
557va (VaSet
558fg "0,0,32768"
559bg "0,0,32768"
560)
561xt "60200,6000,62300,7000"
562st "
563Path:
564"
565tm "CommentText"
566wrapOption 3
567visibleHeight 1000
568visibleWidth 4000
569)
570position 1
571ignorePrefs 1
572titleBlock 1
573)
574*10 (CommentText
575uid 35,0
576shape (Rectangle
577uid 36,0
578sl 0
579va (VaSet
580vasetType 1
581fg "65280,65280,46080"
582)
583xt "60000,7000,64000,8000"
584)
585oxt "14000,70000,18000,71000"
586text (MLText
587uid 37,0
588va (VaSet
589fg "0,0,32768"
590bg "0,0,32768"
591)
592xt "60200,7000,62900,8000"
593st "
594Edited:
595"
596tm "CommentText"
597wrapOption 3
598visibleHeight 1000
599visibleWidth 4000
600)
601position 1
602ignorePrefs 1
603titleBlock 1
604)
605*11 (CommentText
606uid 38,0
607shape (Rectangle
608uid 39,0
609sl 0
610va (VaSet
611vasetType 1
612fg "65280,65280,46080"
613)
614xt "64000,6000,81000,7000"
615)
616oxt "18000,69000,35000,70000"
617text (MLText
618uid 40,0
619va (VaSet
620fg "0,0,32768"
621bg "0,0,32768"
622)
623xt "64200,6000,79100,7000"
624st "
625%library/%unit/%view
626"
627tm "CommentText"
628wrapOption 3
629visibleHeight 1000
630visibleWidth 17000
631)
632position 1
633ignorePrefs 1
634titleBlock 1
635)
636]
637shape (GroupingShape
638uid 10,0
639va (VaSet
640vasetType 1
641fg "65535,65535,65535"
642lineStyle 2
643lineWidth 2
644)
645xt "60000,3000,101000,8000"
646)
647oxt "14000,66000,55000,71000"
648)
649*12 (PortIoIn
650uid 169,0
651shape (CompositeShape
652uid 170,0
653va (VaSet
654vasetType 1
655fg "0,0,32768"
656)
657optionalChildren [
658(Pentagon
659uid 171,0
660sl 0
661ro 270
662xt "8000,13625,9500,14375"
663)
664(Line
665uid 172,0
666sl 0
667ro 270
668xt "9500,14000,10000,14000"
669pts [
670"9500,14000"
671"10000,14000"
672]
673)
674]
675)
676stc 0
677sf 1
678tg (WTG
679uid 173,0
680ps "PortIoTextPlaceStrategy"
681stg "STSignalDisplayStrategy"
682f (Text
683uid 174,0
684va (VaSet
685font "arial,8,0"
686)
687xt "5100,13500,7000,14500"
688st "CLK"
689ju 2
690blo "7000,14300"
691tm "WireNameMgr"
692)
693)
694)
695*13 (PortIoOut
696uid 197,0
697shape (CompositeShape
698uid 198,0
699va (VaSet
700vasetType 1
701fg "0,0,32768"
702)
703optionalChildren [
704(Pentagon
705uid 199,0
706sl 0
707ro 270
708xt "39500,15625,41000,16375"
709)
710(Line
711uid 200,0
712sl 0
713ro 270
714xt "39000,16000,39500,16000"
715pts [
716"39000,16000"
717"39500,16000"
718]
719)
720]
721)
722stc 0
723sf 1
724tg (WTG
725uid 201,0
726ps "PortIoTextPlaceStrategy"
727stg "STSignalDisplayStrategy"
728f (Text
729uid 202,0
730va (VaSet
731font "arial,8,0"
732)
733xt "42000,15500,45100,16500"
734st "CLK_50"
735blo "42000,16300"
736tm "WireNameMgr"
737)
738)
739)
740*14 (PortIoOut
741uid 215,0
742shape (CompositeShape
743uid 216,0
744va (VaSet
745vasetType 1
746fg "0,0,32768"
747)
748optionalChildren [
749(Pentagon
750uid 217,0
751sl 0
752ro 270
753xt "39500,13625,41000,14375"
754)
755(Line
756uid 218,0
757sl 0
758ro 270
759xt "39000,14000,39500,14000"
760pts [
761"39000,14000"
762"39500,14000"
763]
764)
765]
766)
767stc 0
768sf 1
769tg (WTG
770uid 219,0
771ps "PortIoTextPlaceStrategy"
772stg "STSignalDisplayStrategy"
773f (Text
774uid 220,0
775va (VaSet
776font "arial,8,0"
777)
778xt "42000,13500,45100,14500"
779st "CLK_25"
780blo "42000,14300"
781tm "WireNameMgr"
782)
783)
784)
785*15 (Net
786uid 223,0
787decl (Decl
788n "CLK_50"
789t "std_logic"
790o 3
791suid 9,0
792)
793declText (MLText
794uid 224,0
795va (VaSet
796font "Courier New,8,0"
797)
798xt "22000,6800,37000,7600"
799st "CLK_50 : std_logic
800"
801)
802)
803*16 (Net
804uid 225,0
805decl (Decl
806n "CLK_25"
807t "std_logic"
808o 2
809suid 10,0
810)
811declText (MLText
812uid 226,0
813va (VaSet
814font "Courier New,8,0"
815)
816xt "22000,5200,37000,6000"
817st "CLK_25 : std_logic
818"
819)
820)
821*17 (Net
822uid 293,0
823decl (Decl
824n "CLK"
825t "std_logic"
826o 1
827suid 13,0
828)
829declText (MLText
830uid 294,0
831va (VaSet
832font "Courier New,8,0"
833)
834xt "22000,2000,37000,2800"
835st "CLK : std_logic
836"
837)
838)
839*18 (SaComponent
840uid 354,0
841optionalChildren [
842*19 (CptPort
843uid 346,0
844ps "OnEdgeStrategy"
845shape (Triangle
846uid 347,0
847ro 90
848va (VaSet
849vasetType 1
850fg "0,65535,0"
851)
852xt "20250,25625,21000,26375"
853)
854tg (CPTG
855uid 348,0
856ps "CptPortTextPlaceStrategy"
857stg "VerticalLayoutStrategy"
858f (Text
859uid 349,0
860va (VaSet
861font "arial,8,0"
862)
863xt "22000,25500,25900,26500"
864st "CLKIN_IN"
865blo "22000,26300"
866)
867)
868thePort (LogicalPort
869decl (Decl
870n "CLKIN_IN"
871t "std_logic"
872o 1
873)
874)
875)
876*20 (CptPort
877uid 350,0
878ps "OnEdgeStrategy"
879shape (Triangle
880uid 351,0
881ro 90
882va (VaSet
883vasetType 1
884fg "0,65535,0"
885)
886xt "32000,27625,32750,28375"
887)
888tg (CPTG
889uid 352,0
890ps "CptPortTextPlaceStrategy"
891stg "RightVerticalLayoutStrategy"
892f (Text
893uid 353,0
894va (VaSet
895font "arial,8,0"
896)
897xt "26600,27500,31000,28500"
898st "CLK0_OUT"
899ju 2
900blo "31000,28300"
901)
902)
903thePort (LogicalPort
904m 1
905decl (Decl
906n "CLK0_OUT"
907t "std_logic"
908o 2
909)
910)
911)
912]
913shape (Rectangle
914uid 355,0
915va (VaSet
916vasetType 1
917fg "0,65535,0"
918lineColor "0,32896,0"
919lineWidth 2
920)
921xt "21000,25000,32000,30000"
922)
923oxt "0,0,8000,10000"
924ttg (MlTextGroup
925uid 356,0
926ps "CenterOffsetStrategy"
927stg "VerticalLayoutStrategy"
928textVec [
929*21 (Text
930uid 357,0
931va (VaSet
932font "arial,8,1"
933)
934xt "21400,30000,27600,31000"
935st "FACT_FAD_lib"
936blo "21400,30800"
937tm "BdLibraryNameMgr"
938)
939*22 (Text
940uid 358,0
941va (VaSet
942font "arial,8,1"
943)
944xt "21400,31000,27200,32000"
945st "dcm_ps_38ns"
946blo "21400,31800"
947tm "CptNameMgr"
948)
949*23 (Text
950uid 359,0
951va (VaSet
952font "arial,8,1"
953)
954xt "21400,32000,23200,33000"
955st "U_1"
956blo "21400,32800"
957tm "InstanceNameMgr"
958)
959]
960)
961ga (GenericAssociation
962uid 360,0
963ps "EdgeToEdgeStrategy"
964matrix (Matrix
965uid 361,0
966text (MLText
967uid 362,0
968va (VaSet
969font "Courier New,8,0"
970)
971xt "26500,27000,26500,27000"
972)
973header ""
974)
975elements [
976]
977)
978viewicon (ZoomableIcon
979uid 363,0
980sl 0
981va (VaSet
982vasetType 1
983fg "49152,49152,49152"
984)
985xt "21250,28250,22750,29750"
986iconName "VhdlFileViewIcon.png"
987iconMaskName "VhdlFileViewIcon.msk"
988ftype 10
989)
990ordering 1
991viewiconposition 0
992portVis (PortSigDisplay
993)
994archFileType "UNKNOWN"
995)
996*24 (SaComponent
997uid 403,0
998optionalChildren [
999*25 (CptPort
1000uid 387,0
1001ps "OnEdgeStrategy"
1002shape (Triangle
1003uid 388,0
1004ro 90
1005va (VaSet
1006vasetType 1
1007fg "0,65535,0"
1008)
1009xt "16250,13625,17000,14375"
1010)
1011tg (CPTG
1012uid 389,0
1013ps "CptPortTextPlaceStrategy"
1014stg "VerticalLayoutStrategy"
1015f (Text
1016uid 390,0
1017va (VaSet
1018font "arial,8,0"
1019)
1020xt "18000,13500,21900,14500"
1021st "CLKIN_IN"
1022blo "18000,14300"
1023)
1024)
1025thePort (LogicalPort
1026decl (Decl
1027n "CLKIN_IN"
1028t "std_logic"
1029o 1
1030)
1031)
1032)
1033*26 (CptPort
1034uid 391,0
1035ps "OnEdgeStrategy"
1036shape (Triangle
1037uid 392,0
1038ro 90
1039va (VaSet
1040vasetType 1
1041fg "0,65535,0"
1042)
1043xt "32000,13625,32750,14375"
1044)
1045tg (CPTG
1046uid 393,0
1047ps "CptPortTextPlaceStrategy"
1048stg "RightVerticalLayoutStrategy"
1049f (Text
1050uid 394,0
1051va (VaSet
1052font "arial,8,0"
1053)
1054xt "26000,13500,31000,14500"
1055st "CLKFX_OUT"
1056ju 2
1057blo "31000,14300"
1058)
1059)
1060thePort (LogicalPort
1061m 1
1062decl (Decl
1063n "CLKFX_OUT"
1064t "std_logic"
1065o 2
1066)
1067)
1068)
1069*27 (CptPort
1070uid 395,0
1071ps "OnEdgeStrategy"
1072shape (Triangle
1073uid 396,0
1074ro 90
1075va (VaSet
1076vasetType 1
1077fg "0,65535,0"
1078)
1079xt "32000,14625,32750,15375"
1080)
1081tg (CPTG
1082uid 397,0
1083ps "CptPortTextPlaceStrategy"
1084stg "RightVerticalLayoutStrategy"
1085f (Text
1086uid 398,0
1087va (VaSet
1088font "arial,8,0"
1089)
1090xt "23000,14500,31000,15500"
1091st "CLKIN_IBUFG_OUT"
1092ju 2
1093blo "31000,15300"
1094)
1095)
1096thePort (LogicalPort
1097m 1
1098decl (Decl
1099n "CLKIN_IBUFG_OUT"
1100t "std_logic"
1101o 3
1102)
1103)
1104)
1105*28 (CptPort
1106uid 399,0
1107ps "OnEdgeStrategy"
1108shape (Triangle
1109uid 400,0
1110ro 90
1111va (VaSet
1112vasetType 1
1113fg "0,65535,0"
1114)
1115xt "32000,15625,32750,16375"
1116)
1117tg (CPTG
1118uid 401,0
1119ps "CptPortTextPlaceStrategy"
1120stg "RightVerticalLayoutStrategy"
1121f (Text
1122uid 402,0
1123va (VaSet
1124font "arial,8,0"
1125)
1126xt "26600,15500,31000,16500"
1127st "CLK0_OUT"
1128ju 2
1129blo "31000,16300"
1130)
1131)
1132thePort (LogicalPort
1133m 1
1134decl (Decl
1135n "CLK0_OUT"
1136t "std_logic"
1137o 4
1138)
1139)
1140)
1141]
1142shape (Rectangle
1143uid 404,0
1144va (VaSet
1145vasetType 1
1146fg "0,65535,0"
1147lineColor "0,32896,0"
1148lineWidth 2
1149)
1150xt "17000,13000,32000,18000"
1151)
1152oxt "0,0,8000,10000"
1153ttg (MlTextGroup
1154uid 405,0
1155ps "CenterOffsetStrategy"
1156stg "VerticalLayoutStrategy"
1157textVec [
1158*29 (Text
1159uid 406,0
1160va (VaSet
1161font "arial,8,1"
1162)
1163xt "17400,18000,23600,19000"
1164st "FACT_FAD_lib"
1165blo "17400,18800"
1166tm "BdLibraryNameMgr"
1167)
1168*30 (Text
1169uid 407,0
1170va (VaSet
1171font "arial,8,1"
1172)
1173xt "17400,19000,23400,20000"
1174st "dcm_50_to_25"
1175blo "17400,19800"
1176tm "CptNameMgr"
1177)
1178*31 (Text
1179uid 408,0
1180va (VaSet
1181font "arial,8,1"
1182)
1183xt "17400,20000,19200,21000"
1184st "U_0"
1185blo "17400,20800"
1186tm "InstanceNameMgr"
1187)
1188]
1189)
1190ga (GenericAssociation
1191uid 409,0
1192ps "EdgeToEdgeStrategy"
1193matrix (Matrix
1194uid 410,0
1195text (MLText
1196uid 411,0
1197va (VaSet
1198font "Courier New,8,0"
1199)
1200xt "24500,13000,24500,13000"
1201)
1202header ""
1203)
1204elements [
1205]
1206)
1207viewicon (ZoomableIcon
1208uid 412,0
1209sl 0
1210va (VaSet
1211vasetType 1
1212fg "49152,49152,49152"
1213)
1214xt "17250,16250,18750,17750"
1215iconName "VhdlFileViewIcon.png"
1216iconMaskName "VhdlFileViewIcon.msk"
1217ftype 10
1218)
1219ordering 1
1220viewiconposition 0
1221portVis (PortSigDisplay
1222)
1223archFileType "UNKNOWN"
1224)
1225*32 (SaComponent
1226uid 514,0
1227optionalChildren [
1228*33 (CptPort
1229uid 482,0
1230ps "OnEdgeStrategy"
1231shape (Triangle
1232uid 483,0
1233ro 90
1234va (VaSet
1235vasetType 1
1236fg "0,65535,0"
1237)
1238xt "39250,33625,40000,34375"
1239)
1240tg (CPTG
1241uid 484,0
1242ps "CptPortTextPlaceStrategy"
1243stg "VerticalLayoutStrategy"
1244f (Text
1245uid 485,0
1246va (VaSet
1247font "arial,8,0"
1248)
1249xt "41000,33500,44900,34500"
1250st "CLKIN_IN"
1251blo "41000,34300"
1252)
1253)
1254thePort (LogicalPort
1255decl (Decl
1256n "CLKIN_IN"
1257t "std_logic"
1258o 1
1259)
1260)
1261)
1262*34 (CptPort
1263uid 486,0
1264ps "OnEdgeStrategy"
1265shape (Triangle
1266uid 487,0
1267ro 90
1268va (VaSet
1269vasetType 1
1270fg "0,65535,0"
1271)
1272xt "39250,34625,40000,35375"
1273)
1274tg (CPTG
1275uid 488,0
1276ps "CptPortTextPlaceStrategy"
1277stg "VerticalLayoutStrategy"
1278f (Text
1279uid 489,0
1280va (VaSet
1281font "arial,8,0"
1282)
1283xt "41000,34500,45100,35500"
1284st "PSCLK_IN"
1285blo "41000,35300"
1286)
1287)
1288thePort (LogicalPort
1289decl (Decl
1290n "PSCLK_IN"
1291t "std_logic"
1292o 2
1293)
1294)
1295)
1296*35 (CptPort
1297uid 490,0
1298ps "OnEdgeStrategy"
1299shape (Triangle
1300uid 491,0
1301ro 90
1302va (VaSet
1303vasetType 1
1304fg "0,65535,0"
1305)
1306xt "39250,35625,40000,36375"
1307)
1308tg (CPTG
1309uid 492,0
1310ps "CptPortTextPlaceStrategy"
1311stg "VerticalLayoutStrategy"
1312f (Text
1313uid 493,0
1314va (VaSet
1315font "arial,8,0"
1316)
1317xt "41000,35500,44700,36500"
1318st "PSEN_IN"
1319blo "41000,36300"
1320)
1321)
1322thePort (LogicalPort
1323decl (Decl
1324n "PSEN_IN"
1325t "std_logic"
1326o 3
1327)
1328)
1329)
1330*36 (CptPort
1331uid 494,0
1332ps "OnEdgeStrategy"
1333shape (Triangle
1334uid 495,0
1335ro 90
1336va (VaSet
1337vasetType 1
1338fg "0,65535,0"
1339)
1340xt "39250,36625,40000,37375"
1341)
1342tg (CPTG
1343uid 496,0
1344ps "CptPortTextPlaceStrategy"
1345stg "VerticalLayoutStrategy"
1346f (Text
1347uid 497,0
1348va (VaSet
1349font "arial,8,0"
1350)
1351xt "41000,36500,47100,37500"
1352st "PSINCDEC_IN"
1353blo "41000,37300"
1354)
1355)
1356thePort (LogicalPort
1357decl (Decl
1358n "PSINCDEC_IN"
1359t "std_logic"
1360o 4
1361)
1362)
1363)
1364*37 (CptPort
1365uid 502,0
1366ps "OnEdgeStrategy"
1367shape (Triangle
1368uid 503,0
1369ro 90
1370va (VaSet
1371vasetType 1
1372fg "0,65535,0"
1373)
1374xt "55000,33625,55750,34375"
1375)
1376tg (CPTG
1377uid 504,0
1378ps "CptPortTextPlaceStrategy"
1379stg "RightVerticalLayoutStrategy"
1380f (Text
1381uid 505,0
1382va (VaSet
1383font "arial,8,0"
1384)
1385xt "49600,33500,54000,34500"
1386st "CLK0_OUT"
1387ju 2
1388blo "54000,34300"
1389)
1390)
1391thePort (LogicalPort
1392m 1
1393decl (Decl
1394n "CLK0_OUT"
1395t "std_logic"
1396o 6
1397)
1398)
1399)
1400*38 (CptPort
1401uid 506,0
1402ps "OnEdgeStrategy"
1403shape (Triangle
1404uid 507,0
1405ro 90
1406va (VaSet
1407vasetType 1
1408fg "0,65535,0"
1409)
1410xt "55000,34625,55750,35375"
1411)
1412tg (CPTG
1413uid 508,0
1414ps "CptPortTextPlaceStrategy"
1415stg "RightVerticalLayoutStrategy"
1416f (Text
1417uid 509,0
1418va (VaSet
1419font "arial,8,0"
1420)
1421xt "47900,34500,54000,35500"
1422st "LOCKED_OUT"
1423ju 2
1424blo "54000,35300"
1425)
1426)
1427thePort (LogicalPort
1428m 1
1429decl (Decl
1430n "LOCKED_OUT"
1431t "std_logic"
1432o 7
1433)
1434)
1435)
1436*39 (CptPort
1437uid 510,0
1438ps "OnEdgeStrategy"
1439shape (Triangle
1440uid 511,0
1441ro 90
1442va (VaSet
1443vasetType 1
1444fg "0,65535,0"
1445)
1446xt "55000,35625,55750,36375"
1447)
1448tg (CPTG
1449uid 512,0
1450ps "CptPortTextPlaceStrategy"
1451stg "RightVerticalLayoutStrategy"
1452f (Text
1453uid 513,0
1454va (VaSet
1455font "arial,8,0"
1456)
1457xt "47800,35500,54000,36500"
1458st "PSDONE_OUT"
1459ju 2
1460blo "54000,36300"
1461)
1462)
1463thePort (LogicalPort
1464m 1
1465decl (Decl
1466n "PSDONE_OUT"
1467t "std_logic"
1468o 8
1469)
1470)
1471)
1472*40 (CptPort
1473uid 1487,0
1474ps "OnEdgeStrategy"
1475shape (Triangle
1476uid 1488,0
1477ro 90
1478va (VaSet
1479vasetType 1
1480fg "0,65535,0"
1481)
1482xt "39250,37625,40000,38375"
1483)
1484tg (CPTG
1485uid 1489,0
1486ps "CptPortTextPlaceStrategy"
1487stg "VerticalLayoutStrategy"
1488f (Text
1489uid 1490,0
1490va (VaSet
1491font "arial,8,0"
1492)
1493xt "41000,37500,44200,38500"
1494st "RST_IN"
1495blo "41000,38300"
1496)
1497)
1498thePort (LogicalPort
1499decl (Decl
1500n "RST_IN"
1501t "std_logic"
1502o 5
1503)
1504)
1505)
1506]
1507shape (Rectangle
1508uid 515,0
1509va (VaSet
1510vasetType 1
1511fg "0,65535,0"
1512lineColor "0,32896,0"
1513lineWidth 2
1514)
1515xt "40000,33000,55000,40000"
1516)
1517oxt "0,0,8000,10000"
1518ttg (MlTextGroup
1519uid 516,0
1520ps "CenterOffsetStrategy"
1521stg "VerticalLayoutStrategy"
1522textVec [
1523*41 (Text
1524uid 517,0
1525va (VaSet
1526font "arial,8,1"
1527)
1528xt "40800,40000,47000,41000"
1529st "FACT_FAD_lib"
1530blo "40800,40800"
1531tm "BdLibraryNameMgr"
1532)
1533*42 (Text
1534uid 518,0
1535va (VaSet
1536font "arial,8,1"
1537)
1538xt "40800,41000,48200,42000"
1539st "dcm_var_ps_38ns"
1540blo "40800,41800"
1541tm "CptNameMgr"
1542)
1543*43 (Text
1544uid 519,0
1545va (VaSet
1546font "arial,8,1"
1547)
1548xt "40800,42000,42600,43000"
1549st "U_2"
1550blo "40800,42800"
1551tm "InstanceNameMgr"
1552)
1553]
1554)
1555ga (GenericAssociation
1556uid 520,0
1557ps "EdgeToEdgeStrategy"
1558matrix (Matrix
1559uid 521,0
1560text (MLText
1561uid 522,0
1562va (VaSet
1563font "Courier New,8,0"
1564)
1565xt "47500,33000,47500,33000"
1566)
1567header ""
1568)
1569elements [
1570]
1571)
1572viewicon (ZoomableIcon
1573uid 523,0
1574sl 0
1575va (VaSet
1576vasetType 1
1577fg "49152,49152,49152"
1578)
1579xt "40250,38250,41750,39750"
1580iconName "VhdlFileViewIcon.png"
1581iconMaskName "VhdlFileViewIcon.msk"
1582ftype 10
1583)
1584ordering 1
1585viewiconposition 0
1586portVis (PortSigDisplay
1587)
1588archFileType "UNKNOWN"
1589)
1590*44 (Net
1591uid 524,0
1592decl (Decl
1593n "CLK0_OUT"
1594t "std_logic"
1595o 14
1596suid 14,0
1597)
1598declText (MLText
1599uid 525,0
1600va (VaSet
1601font "Courier New,8,0"
1602)
1603xt "22000,15800,40500,16600"
1604st "SIGNAL CLK0_OUT : std_logic
1605"
1606)
1607)
1608*45 (Net
1609uid 530,0
1610decl (Decl
1611n "PSCLK_IN"
1612t "std_logic"
1613o 16
1614suid 15,0
1615)
1616declText (MLText
1617uid 531,0
1618va (VaSet
1619font "Courier New,8,0"
1620)
1621xt "22000,17400,40500,18200"
1622st "SIGNAL PSCLK_IN : std_logic
1623"
1624)
1625)
1626*46 (Net
1627uid 544,0
1628decl (Decl
1629n "PSEN_IN"
1630t "std_logic"
1631o 18
1632suid 16,0
1633)
1634declText (MLText
1635uid 545,0
1636va (VaSet
1637font "Courier New,8,0"
1638)
1639xt "22000,19000,40500,19800"
1640st "SIGNAL PSEN_IN : std_logic
1641"
1642)
1643)
1644*47 (Net
1645uid 558,0
1646decl (Decl
1647n "PSINCDEC_IN"
1648t "std_logic"
1649o 19
1650suid 17,0
1651)
1652declText (MLText
1653uid 559,0
1654va (VaSet
1655font "Courier New,8,0"
1656)
1657xt "22000,19800,40500,20600"
1658st "SIGNAL PSINCDEC_IN : std_logic
1659"
1660)
1661)
1662*48 (Net
1663uid 586,0
1664decl (Decl
1665n "PSDONE_OUT"
1666t "std_logic"
1667o 17
1668suid 19,0
1669)
1670declText (MLText
1671uid 587,0
1672va (VaSet
1673font "Courier New,8,0"
1674)
1675xt "22000,18200,40500,19000"
1676st "SIGNAL PSDONE_OUT : std_logic
1677"
1678)
1679)
1680*49 (Net
1681uid 600,0
1682decl (Decl
1683n "LOCKED_OUT"
1684t "std_logic"
1685o 15
1686suid 20,0
1687)
1688declText (MLText
1689uid 601,0
1690va (VaSet
1691font "Courier New,8,0"
1692)
1693xt "22000,16600,40500,17400"
1694st "SIGNAL LOCKED_OUT : std_logic
1695"
1696)
1697)
1698*50 (SaComponent
1699uid 826,0
1700optionalChildren [
1701*51 (CptPort
1702uid 767,0
1703ps "OnEdgeStrategy"
1704shape (Triangle
1705uid 768,0
1706ro 90
1707va (VaSet
1708vasetType 1
1709fg "0,65535,0"
1710)
1711xt "63250,30625,64000,31375"
1712)
1713tg (CPTG
1714uid 769,0
1715ps "CptPortTextPlaceStrategy"
1716stg "VerticalLayoutStrategy"
1717f (Text
1718uid 770,0
1719va (VaSet
1720)
1721xt "65000,30500,66900,31500"
1722st "CLK"
1723blo "65000,31300"
1724)
1725)
1726thePort (LogicalPort
1727decl (Decl
1728n "CLK"
1729t "std_logic"
1730preAdd 0
1731posAdd 0
1732o 1
1733suid 1,0
1734)
1735)
1736)
1737*52 (CptPort
1738uid 771,0
1739ps "OnEdgeStrategy"
1740shape (Triangle
1741uid 772,0
1742ro 90
1743va (VaSet
1744vasetType 1
1745fg "0,65535,0"
1746)
1747xt "90000,39625,90750,40375"
1748)
1749tg (CPTG
1750uid 773,0
1751ps "CptPortTextPlaceStrategy"
1752stg "RightVerticalLayoutStrategy"
1753f (Text
1754uid 774,0
1755va (VaSet
1756)
1757xt "86100,39500,89000,40500"
1758st "PSCLK"
1759ju 2
1760blo "89000,40300"
1761)
1762)
1763thePort (LogicalPort
1764m 1
1765decl (Decl
1766n "PSCLK"
1767t "std_logic"
1768prec "-- interface to: clock_generator_variable_PS_struct.vhd"
1769preAdd 0
1770posAdd 0
1771o 2
1772suid 2,0
1773)
1774)
1775)
1776*53 (CptPort
1777uid 775,0
1778ps "OnEdgeStrategy"
1779shape (Triangle
1780uid 776,0
1781ro 90
1782va (VaSet
1783vasetType 1
1784fg "0,65535,0"
1785)
1786xt "90000,40625,90750,41375"
1787)
1788tg (CPTG
1789uid 777,0
1790ps "CptPortTextPlaceStrategy"
1791stg "RightVerticalLayoutStrategy"
1792f (Text
1793uid 778,0
1794va (VaSet
1795)
1796xt "86500,40500,89000,41500"
1797st "PSEN"
1798ju 2
1799blo "89000,41300"
1800)
1801)
1802thePort (LogicalPort
1803m 1
1804decl (Decl
1805n "PSEN"
1806t "std_logic"
1807preAdd 0
1808posAdd 0
1809o 3
1810suid 3,0
1811i "'0'"
1812)
1813)
1814)
1815*54 (CptPort
1816uid 779,0
1817ps "OnEdgeStrategy"
1818shape (Triangle
1819uid 780,0
1820ro 90
1821va (VaSet
1822vasetType 1
1823fg "0,65535,0"
1824)
1825xt "90000,41625,90750,42375"
1826)
1827tg (CPTG
1828uid 781,0
1829ps "CptPortTextPlaceStrategy"
1830stg "RightVerticalLayoutStrategy"
1831f (Text
1832uid 782,0
1833va (VaSet
1834)
1835xt "84500,41500,89000,42500"
1836st "PSINCDEC"
1837ju 2
1838blo "89000,42300"
1839)
1840)
1841thePort (LogicalPort
1842m 1
1843decl (Decl
1844n "PSINCDEC"
1845t "std_logic"
1846eolc "-- default is 'incrementing'"
1847preAdd 0
1848posAdd 0
1849o 4
1850suid 4,0
1851i "'1'"
1852)
1853)
1854)
1855*55 (CptPort
1856uid 783,0
1857ps "OnEdgeStrategy"
1858shape (Triangle
1859uid 784,0
1860ro 90
1861va (VaSet
1862vasetType 1
1863fg "0,65535,0"
1864)
1865xt "63250,35625,64000,36375"
1866)
1867tg (CPTG
1868uid 785,0
1869ps "CptPortTextPlaceStrategy"
1870stg "VerticalLayoutStrategy"
1871f (Text
1872uid 786,0
1873va (VaSet
1874)
1875xt "65000,35500,68700,36500"
1876st "PSDONE"
1877blo "65000,36300"
1878)
1879)
1880thePort (LogicalPort
1881decl (Decl
1882n "PSDONE"
1883t "std_logic"
1884eolc "-- will pulse once, if phase shifting was done."
1885preAdd 0
1886posAdd 0
1887o 5
1888suid 5,0
1889)
1890)
1891)
1892*56 (CptPort
1893uid 787,0
1894ps "OnEdgeStrategy"
1895shape (Triangle
1896uid 788,0
1897ro 90
1898va (VaSet
1899vasetType 1
1900fg "0,65535,0"
1901)
1902xt "63250,34625,64000,35375"
1903)
1904tg (CPTG
1905uid 789,0
1906ps "CptPortTextPlaceStrategy"
1907stg "VerticalLayoutStrategy"
1908f (Text
1909uid 790,0
1910va (VaSet
1911)
1912xt "65000,34500,68600,35500"
1913st "LOCKED"
1914blo "65000,35300"
1915)
1916)
1917thePort (LogicalPort
1918decl (Decl
1919n "LOCKED"
1920t "std_logic"
1921preAdd 0
1922posAdd 0
1923o 6
1924suid 6,0
1925)
1926)
1927)
1928*57 (CptPort
1929uid 795,0
1930ps "OnEdgeStrategy"
1931shape (Triangle
1932uid 796,0
1933ro 90
1934va (VaSet
1935vasetType 1
1936fg "0,65535,0"
1937)
1938xt "63250,40625,64000,41375"
1939)
1940tg (CPTG
1941uid 797,0
1942ps "CptPortTextPlaceStrategy"
1943stg "VerticalLayoutStrategy"
1944f (Text
1945uid 798,0
1946va (VaSet
1947)
1948xt "65000,40500,69600,41500"
1949st "shift_phase"
1950blo "65000,41300"
1951)
1952)
1953thePort (LogicalPort
1954decl (Decl
1955n "shift_phase"
1956t "std_logic"
1957prec "-- interface to: w5300_modul.vhd"
1958preAdd 0
1959posAdd 0
1960o 7
1961suid 8,0
1962)
1963)
1964)
1965*58 (CptPort
1966uid 799,0
1967ps "OnEdgeStrategy"
1968shape (Triangle
1969uid 800,0
1970ro 90
1971va (VaSet
1972vasetType 1
1973fg "0,65535,0"
1974)
1975xt "63250,41625,64000,42375"
1976)
1977tg (CPTG
1978uid 801,0
1979ps "CptPortTextPlaceStrategy"
1980stg "VerticalLayoutStrategy"
1981f (Text
1982uid 802,0
1983va (VaSet
1984)
1985xt "65000,41500,68300,42500"
1986st "direction"
1987blo "65000,42300"
1988)
1989)
1990thePort (LogicalPort
1991decl (Decl
1992n "direction"
1993t "std_logic"
1994eolc "-- corresponds to 'PSINCDEC'"
1995preAdd 0
1996posAdd 0
1997o 8
1998suid 9,0
1999)
2000)
2001)
2002*59 (CptPort
2003uid 803,0
2004ps "OnEdgeStrategy"
2005shape (Triangle
2006uid 804,0
2007ro 90
2008va (VaSet
2009vasetType 1
2010fg "0,65535,0"
2011)
2012xt "90000,31625,90750,32375"
2013)
2014tg (CPTG
2015uid 805,0
2016ps "CptPortTextPlaceStrategy"
2017stg "RightVerticalLayoutStrategy"
2018f (Text
2019uid 806,0
2020va (VaSet
2021)
2022xt "86100,31500,89000,32500"
2023st "shifting"
2024ju 2
2025blo "89000,32300"
2026)
2027)
2028thePort (LogicalPort
2029m 1
2030decl (Decl
2031n "shifting"
2032t "std_logic"
2033prec "-- status:"
2034preAdd 0
2035posAdd 0
2036o 9
2037suid 10,0
2038i "'0'"
2039)
2040)
2041)
2042*60 (CptPort
2043uid 807,0
2044ps "OnEdgeStrategy"
2045shape (Triangle
2046uid 808,0
2047ro 90
2048va (VaSet
2049vasetType 1
2050fg "0,65535,0"
2051)
2052xt "90000,30625,90750,31375"
2053)
2054tg (CPTG
2055uid 809,0
2056ps "CptPortTextPlaceStrategy"
2057stg "RightVerticalLayoutStrategy"
2058f (Text
2059uid 810,0
2060va (VaSet
2061)
2062xt "86800,30500,89000,31500"
2063st "ready"
2064ju 2
2065blo "89000,31300"
2066)
2067)
2068thePort (LogicalPort
2069m 1
2070decl (Decl
2071n "ready"
2072t "std_logic"
2073preAdd 0
2074posAdd 0
2075o 10
2076suid 11,0
2077i "'0'"
2078)
2079)
2080)
2081*61 (CptPort
2082uid 811,0
2083ps "OnEdgeStrategy"
2084shape (Triangle
2085uid 812,0
2086ro 90
2087va (VaSet
2088vasetType 1
2089fg "0,65535,0"
2090)
2091xt "90000,33625,90750,34375"
2092)
2093tg (CPTG
2094uid 813,0
2095ps "CptPortTextPlaceStrategy"
2096stg "RightVerticalLayoutStrategy"
2097f (Text
2098uid 814,0
2099va (VaSet
2100)
2101xt "84200,33500,89000,34500"
2102st "offset : (7:0)"
2103ju 2
2104blo "89000,34300"
2105)
2106)
2107thePort (LogicalPort
2108m 1
2109decl (Decl
2110n "offset"
2111t "std_logic_vector"
2112b "(7 DOWNTO 0)"
2113preAdd 0
2114posAdd 0
2115o 11
2116suid 12,0
2117i "(OTHERS => '0')"
2118)
2119)
2120)
2121*62 (CptPort
2122uid 815,0
2123ps "OnEdgeStrategy"
2124shape (Triangle
2125uid 816,0
2126ro 90
2127va (VaSet
2128vasetType 1
2129fg "0,65535,0"
2130)
2131xt "90000,34625,90750,35375"
2132)
2133tg (CPTG
2134uid 817,0
2135ps "CptPortTextPlaceStrategy"
2136stg "RightVerticalLayoutStrategy"
2137f (Text
2138uid 818,0
2139va (VaSet
2140)
2141xt "83800,34500,89000,35500"
2142st "DCM_locked"
2143ju 2
2144blo "89000,35300"
2145)
2146)
2147thePort (LogicalPort
2148m 1
2149decl (Decl
2150n "DCM_locked"
2151t "std_logic"
2152preAdd 0
2153posAdd 0
2154o 12
2155suid 13,0
2156)
2157)
2158)
2159]
2160shape (Rectangle
2161uid 827,0
2162va (VaSet
2163vasetType 1
2164fg "0,65535,0"
2165lineColor "0,32896,0"
2166lineWidth 2
2167)
2168xt "64000,30000,90000,45000"
2169)
2170oxt "50000,7000,63000,25000"
2171ttg (MlTextGroup
2172uid 828,0
2173ps "CenterOffsetStrategy"
2174stg "VerticalLayoutStrategy"
2175textVec [
2176*63 (Text
2177uid 829,0
2178va (VaSet
2179font "Arial,8,1"
2180)
2181xt "65700,26000,71900,27000"
2182st "FACT_FAD_lib"
2183blo "65700,26800"
2184tm "BdLibraryNameMgr"
2185)
2186*64 (Text
2187uid 830,0
2188va (VaSet
2189font "Arial,8,1"
2190)
2191xt "65700,27000,71500,28000"
2192st "phase_shifter"
2193blo "65700,27800"
2194tm "CptNameMgr"
2195)
2196*65 (Text
2197uid 831,0
2198va (VaSet
2199font "Arial,8,1"
2200)
2201xt "65700,28000,67500,29000"
2202st "U_4"
2203blo "65700,28800"
2204tm "InstanceNameMgr"
2205)
2206]
2207)
2208ga (GenericAssociation
2209uid 832,0
2210ps "EdgeToEdgeStrategy"
2211matrix (Matrix
2212uid 833,0
2213text (MLText
2214uid 834,0
2215va (VaSet
2216font "Courier New,8,0"
2217)
2218xt "64000,29000,64000,29000"
2219)
2220header ""
2221)
2222elements [
2223]
2224)
2225viewicon (ZoomableIcon
2226uid 835,0
2227sl 0
2228va (VaSet
2229vasetType 1
2230fg "49152,49152,49152"
2231)
2232xt "64250,43250,65750,44750"
2233iconName "VhdlFileViewIcon.png"
2234iconMaskName "VhdlFileViewIcon.msk"
2235ftype 10
2236)
2237ordering 1
2238viewiconposition 0
2239portVis (PortSigDisplay
2240)
2241archFileType "UNKNOWN"
2242)
2243*66 (Net
2244uid 853,0
2245decl (Decl
2246n "ready"
2247t "std_logic"
2248preAdd 0
2249posAdd 0
2250o 12
2251suid 23,0
2252i "'0'"
2253)
2254declText (MLText
2255uid 854,0
2256va (VaSet
2257font "Courier New,8,0"
2258)
2259xt "22000,12400,50500,13200"
2260st "ready : std_logic := '0'
2261"
2262)
2263)
2264*67 (PortIoOut
2265uid 861,0
2266shape (CompositeShape
2267uid 862,0
2268va (VaSet
2269vasetType 1
2270fg "0,0,32768"
2271)
2272optionalChildren [
2273(Pentagon
2274uid 863,0
2275sl 0
2276ro 270
2277xt "100500,30625,102000,31375"
2278)
2279(Line
2280uid 864,0
2281sl 0
2282ro 270
2283xt "100000,31000,100500,31000"
2284pts [
2285"100000,31000"
2286"100500,31000"
2287]
2288)
2289]
2290)
2291stc 0
2292sf 1
2293tg (WTG
2294uid 865,0
2295ps "PortIoTextPlaceStrategy"
2296stg "STSignalDisplayStrategy"
2297f (Text
2298uid 866,0
2299va (VaSet
2300font "arial,8,0"
2301)
2302xt "103000,30500,105200,31500"
2303st "ready"
2304blo "103000,31300"
2305tm "WireNameMgr"
2306)
2307)
2308)
2309*68 (Net
2310uid 867,0
2311decl (Decl
2312n "shifting"
2313t "std_logic"
2314prec "-- status:"
2315preAdd 0
2316posAdd 0
2317o 13
2318suid 24,0
2319i "'0'"
2320)
2321declText (MLText
2322uid 868,0
2323va (VaSet
2324font "Courier New,8,0"
2325)
2326xt "22000,13200,50500,14800"
2327st "-- status:
2328shifting : std_logic := '0'
2329"
2330)
2331)
2332*69 (PortIoOut
2333uid 875,0
2334shape (CompositeShape
2335uid 876,0
2336va (VaSet
2337vasetType 1
2338fg "0,0,32768"
2339)
2340optionalChildren [
2341(Pentagon
2342uid 877,0
2343sl 0
2344ro 270
2345xt "100500,31625,102000,32375"
2346)
2347(Line
2348uid 878,0
2349sl 0
2350ro 270
2351xt "100000,32000,100500,32000"
2352pts [
2353"100000,32000"
2354"100500,32000"
2355]
2356)
2357]
2358)
2359stc 0
2360sf 1
2361tg (WTG
2362uid 879,0
2363ps "PortIoTextPlaceStrategy"
2364stg "STSignalDisplayStrategy"
2365f (Text
2366uid 880,0
2367va (VaSet
2368font "arial,8,0"
2369)
2370xt "103000,31500,105900,32500"
2371st "shifting"
2372blo "103000,32300"
2373tm "WireNameMgr"
2374)
2375)
2376)
2377*70 (Net
2378uid 881,0
2379decl (Decl
2380n "offset"
2381t "std_logic_vector"
2382b "(7 downto 0)"
2383preAdd 0
2384posAdd 0
2385o 11
2386suid 25,0
2387i "(OTHERS => '0')"
2388)
2389declText (MLText
2390uid 882,0
2391va (VaSet
2392font "Courier New,8,0"
2393)
2394xt "22000,11600,56500,12400"
2395st "offset : std_logic_vector(7 downto 0) := (OTHERS => '0')
2396"
2397)
2398)
2399*71 (PortIoOut
2400uid 889,0
2401shape (CompositeShape
2402uid 890,0
2403va (VaSet
2404vasetType 1
2405fg "0,0,32768"
2406)
2407optionalChildren [
2408(Pentagon
2409uid 891,0
2410sl 0
2411ro 270
2412xt "100500,33625,102000,34375"
2413)
2414(Line
2415uid 892,0
2416sl 0
2417ro 270
2418xt "100000,34000,100500,34000"
2419pts [
2420"100000,34000"
2421"100500,34000"
2422]
2423)
2424]
2425)
2426stc 0
2427sf 1
2428tg (WTG
2429uid 893,0
2430ps "PortIoTextPlaceStrategy"
2431stg "STSignalDisplayStrategy"
2432f (Text
2433uid 894,0
2434va (VaSet
2435font "arial,8,0"
2436)
2437xt "103000,33500,105200,34500"
2438st "offset"
2439blo "103000,34300"
2440tm "WireNameMgr"
2441)
2442)
2443)
2444*72 (Net
2445uid 895,0
2446decl (Decl
2447n "DCM_locked"
2448t "std_logic"
2449preAdd 0
2450posAdd 0
2451o 4
2452suid 26,0
2453)
2454declText (MLText
2455uid 896,0
2456va (VaSet
2457font "Courier New,8,0"
2458)
2459xt "22000,7600,37000,8400"
2460st "DCM_locked : std_logic
2461"
2462)
2463)
2464*73 (PortIoOut
2465uid 903,0
2466shape (CompositeShape
2467uid 904,0
2468va (VaSet
2469vasetType 1
2470fg "0,0,32768"
2471)
2472optionalChildren [
2473(Pentagon
2474uid 905,0
2475sl 0
2476ro 270
2477xt "100500,34625,102000,35375"
2478)
2479(Line
2480uid 906,0
2481sl 0
2482ro 270
2483xt "100000,35000,100500,35000"
2484pts [
2485"100000,35000"
2486"100500,35000"
2487]
2488)
2489]
2490)
2491stc 0
2492sf 1
2493tg (WTG
2494uid 907,0
2495ps "PortIoTextPlaceStrategy"
2496stg "STSignalDisplayStrategy"
2497f (Text
2498uid 908,0
2499va (VaSet
2500font "arial,8,0"
2501)
2502xt "103000,34500,108200,35500"
2503st "DCM_locked"
2504blo "103000,35300"
2505tm "WireNameMgr"
2506)
2507)
2508)
2509*74 (PortIoOut
2510uid 968,0
2511shape (CompositeShape
2512uid 969,0
2513va (VaSet
2514vasetType 1
2515fg "0,0,32768"
2516)
2517optionalChildren [
2518(Pentagon
2519uid 970,0
2520sl 0
2521ro 270
2522xt "110500,39625,112000,40375"
2523)
2524(Line
2525uid 971,0
2526sl 0
2527ro 270
2528xt "110000,40000,110500,40000"
2529pts [
2530"110000,40000"
2531"110500,40000"
2532]
2533)
2534]
2535)
2536stc 0
2537sf 1
2538tg (WTG
2539uid 972,0
2540ps "PortIoTextPlaceStrategy"
2541stg "STSignalDisplayStrategy"
2542f (Text
2543uid 973,0
2544va (VaSet
2545font "arial,8,0"
2546)
2547xt "113000,39500,118000,40500"
2548st "PSCLK_OUT"
2549blo "113000,40300"
2550tm "WireNameMgr"
2551)
2552)
2553)
2554*75 (Net
2555uid 980,0
2556decl (Decl
2557n "PSCLK_OUT"
2558t "std_logic"
2559o 17
2560suid 28,0
2561)
2562declText (MLText
2563uid 981,0
2564va (VaSet
2565font "Courier New,8,0"
2566)
2567xt "22000,9200,37000,10000"
2568st "PSCLK_OUT : std_logic
2569"
2570)
2571)
2572*76 (PortIoOut
2573uid 996,0
2574shape (CompositeShape
2575uid 997,0
2576va (VaSet
2577vasetType 1
2578fg "0,0,32768"
2579)
2580optionalChildren [
2581(Pentagon
2582uid 998,0
2583sl 0
2584ro 270
2585xt "110500,48625,112000,49375"
2586)
2587(Line
2588uid 999,0
2589sl 0
2590ro 270
2591xt "110000,49000,110500,49000"
2592pts [
2593"110000,49000"
2594"110500,49000"
2595]
2596)
2597]
2598)
2599stc 0
2600sf 1
2601tg (WTG
2602uid 1000,0
2603ps "PortIoTextPlaceStrategy"
2604stg "STSignalDisplayStrategy"
2605f (Text
2606uid 1001,0
2607va (VaSet
2608font "arial,8,0"
2609)
2610xt "113000,48500,120000,49500"
2611st "PSINCDEC_OUT"
2612blo "113000,49300"
2613tm "WireNameMgr"
2614)
2615)
2616)
2617*77 (Net
2618uid 1008,0
2619decl (Decl
2620n "PSINCDEC_OUT"
2621t "std_logic"
2622o 19
2623suid 30,0
2624)
2625declText (MLText
2626uid 1009,0
2627va (VaSet
2628font "Courier New,8,0"
2629)
2630xt "22000,10800,37000,11600"
2631st "PSINCDEC_OUT : std_logic
2632"
2633)
2634)
2635*78 (PortIoOut
2636uid 1010,0
2637shape (CompositeShape
2638uid 1011,0
2639va (VaSet
2640vasetType 1
2641fg "0,0,32768"
2642)
2643optionalChildren [
2644(Pentagon
2645uid 1012,0
2646sl 0
2647ro 270
2648xt "110500,52625,112000,53375"
2649)
2650(Line
2651uid 1013,0
2652sl 0
2653ro 270
2654xt "110000,53000,110500,53000"
2655pts [
2656"110000,53000"
2657"110500,53000"
2658]
2659)
2660]
2661)
2662stc 0
2663sf 1
2664tg (WTG
2665uid 1014,0
2666ps "PortIoTextPlaceStrategy"
2667stg "STSignalDisplayStrategy"
2668f (Text
2669uid 1015,0
2670va (VaSet
2671font "arial,8,0"
2672)
2673xt "113000,52500,120800,53500"
2674st "PSDONE_extraOUT"
2675blo "113000,53300"
2676tm "WireNameMgr"
2677)
2678)
2679)
2680*79 (Net
2681uid 1022,0
2682decl (Decl
2683n "PSDONE_extraOUT"
2684t "std_logic"
2685o 20
2686suid 31,0
2687)
2688declText (MLText
2689uid 1023,0
2690va (VaSet
2691font "Courier New,8,0"
2692)
2693xt "22000,10000,37000,10800"
2694st "PSDONE_extraOUT : std_logic
2695"
2696)
2697)
2698*80 (PortIoOut
2699uid 1024,0
2700shape (CompositeShape
2701uid 1025,0
2702va (VaSet
2703vasetType 1
2704fg "0,0,32768"
2705)
2706optionalChildren [
2707(Pentagon
2708uid 1026,0
2709sl 0
2710ro 270
2711xt "110500,56625,112000,57375"
2712)
2713(Line
2714uid 1027,0
2715sl 0
2716ro 270
2717xt "110000,57000,110500,57000"
2718pts [
2719"110000,57000"
2720"110500,57000"
2721]
2722)
2723]
2724)
2725stc 0
2726sf 1
2727tg (WTG
2728uid 1028,0
2729ps "PortIoTextPlaceStrategy"
2730stg "STSignalDisplayStrategy"
2731f (Text
2732uid 1029,0
2733va (VaSet
2734font "arial,8,0"
2735)
2736xt "113000,56500,120700,57500"
2737st "LOCKED_extraOUT"
2738blo "113000,57300"
2739tm "WireNameMgr"
2740)
2741)
2742)
2743*81 (Net
2744uid 1036,0
2745decl (Decl
2746n "LOCKED_extraOUT"
2747t "std_logic"
2748o 21
2749suid 32,0
2750)
2751declText (MLText
2752uid 1037,0
2753va (VaSet
2754font "Courier New,8,0"
2755)
2756xt "22000,8400,37000,9200"
2757st "LOCKED_extraOUT : std_logic
2758"
2759)
2760)
2761*82 (MWC
2762uid 1058,0
2763optionalChildren [
2764*83 (CptPort
2765uid 1038,0
2766optionalChildren [
2767*84 (Line
2768uid 1042,0
2769layer 5
2770sl 0
2771va (VaSet
2772vasetType 3
2773)
2774xt "95000,40000,95000,40000"
2775pts [
2776"95000,40000"
2777"95000,40000"
2778]
2779)
2780]
2781ps "OnEdgeStrategy"
2782shape (Triangle
2783uid 1039,0
2784ro 90
2785va (VaSet
2786vasetType 1
2787isHidden 1
2788fg "0,65535,65535"
2789)
2790xt "94250,39625,95000,40375"
2791)
2792tg (CPTG
2793uid 1040,0
2794ps "CptPortTextPlaceStrategy"
2795stg "VerticalLayoutStrategy"
2796f (Text
2797uid 1041,0
2798sl 0
2799va (VaSet
2800isHidden 1
2801font "arial,8,0"
2802)
2803xt "217200,338000,218000,339000"
2804st "s"
2805blo "217200,338800"
2806)
2807s (Text
2808uid 1067,0
2809sl 0
2810va (VaSet
2811font "arial,8,0"
2812)
2813xt "217200,339000,217200,339000"
2814blo "217200,339000"
2815)
2816)
2817thePort (LogicalPort
2818decl (Decl
2819n "s"
2820t "std_logic"
2821o 16
2822suid 1,0
2823)
2824)
2825)
2826*85 (CptPort
2827uid 1043,0
2828optionalChildren [
2829*86 (Line
2830uid 1047,0
2831layer 5
2832sl 0
2833va (VaSet
2834vasetType 3
2835)
2836xt "98000,40000,98000,40000"
2837pts [
2838"98000,40000"
2839"98000,40000"
2840]
2841)
2842]
2843ps "OnEdgeStrategy"
2844shape (Triangle
2845uid 1044,0
2846ro 90
2847va (VaSet
2848vasetType 1
2849isHidden 1
2850fg "0,65535,65535"
2851)
2852xt "98000,39625,98750,40375"
2853)
2854tg (CPTG
2855uid 1045,0
2856ps "CptPortTextPlaceStrategy"
2857stg "RightVerticalLayoutStrategy"
2858f (Text
2859uid 1046,0
2860sl 0
2861va (VaSet
2862isHidden 1
2863font "arial,8,0"
2864)
2865xt "221000,339000,221600,340000"
2866st "t"
2867ju 2
2868blo "221600,339800"
2869)
2870s (Text
2871uid 1068,0
2872sl 0
2873va (VaSet
2874font "arial,8,0"
2875)
2876xt "221600,340000,221600,340000"
2877ju 2
2878blo "221600,340000"
2879)
2880)
2881thePort (LogicalPort
2882m 1
2883decl (Decl
2884n "t"
2885t "std_logic"
2886o 17
2887suid 2,0
2888)
2889)
2890)
2891*87 (CommentGraphic
2892uid 1048,0
2893shape (PolyLine2D
2894pts [
2895"95000,40000"
2896"96000,39000"
2897]
2898uid 1049,0
2899layer 8
2900sl 0
2901va (VaSet
2902vasetType 1
2903transparent 1
2904fg "49152,49152,49152"
2905lineColor "26368,26368,26368"
2906lineWidth 2
2907)
2908xt "95000,39000,96000,40000"
2909)
2910oxt "6000,6000,7000,7000"
2911)
2912*88 (CommentGraphic
2913uid 1050,0
2914shape (PolyLine2D
2915pts [
2916"95000,40000"
2917"96000,41000"
2918]
2919uid 1051,0
2920layer 8
2921sl 0
2922va (VaSet
2923vasetType 1
2924transparent 1
2925fg "49152,49152,49152"
2926lineColor "26368,26368,26368"
2927lineWidth 2
2928)
2929xt "95000,40000,96000,41000"
2930)
2931oxt "6000,7000,7000,8000"
2932)
2933*89 (CommentGraphic
2934uid 1052,0
2935shape (PolyLine2D
2936pts [
2937"95988,40329"
2938"96988,40329"
2939]
2940uid 1053,0
2941layer 8
2942sl 0
2943va (VaSet
2944vasetType 1
2945transparent 1
2946fg "49152,49152,49152"
2947lineColor "26368,26368,26368"
2948lineWidth 2
2949)
2950xt "95988,40329,96988,40329"
2951)
2952oxt "6988,7329,7988,7329"
2953)
2954*90 (CommentGraphic
2955uid 1054,0
2956shape (PolyLine2D
2957pts [
2958"97000,40000"
2959"98000,40000"
2960]
2961uid 1055,0
2962layer 0
2963sl 0
2964va (VaSet
2965vasetType 1
2966transparent 1
2967fg "49152,49152,49152"
2968)
2969xt "97000,40000,98000,40000"
2970)
2971oxt "8000,7000,9000,7000"
2972)
2973*91 (CommentGraphic
2974uid 1056,0
2975shape (PolyLine2D
2976pts [
2977"95976,39730"
2978"96976,39730"
2979]
2980uid 1057,0
2981layer 8
2982sl 0
2983va (VaSet
2984vasetType 1
2985transparent 1
2986fg "49152,49152,49152"
2987lineColor "26368,26368,26368"
2988lineWidth 2
2989)
2990xt "95976,39730,96976,39730"
2991)
2992oxt "6976,6730,7976,6730"
2993)
2994]
2995shape (Rectangle
2996uid 1059,0
2997va (VaSet
2998vasetType 1
2999transparent 1
3000fg "0,65535,0"
3001lineColor "65535,65535,65535"
3002lineWidth -1
3003)
3004xt "95000,39000,98000,41000"
3005fos 1
3006)
3007showPorts 0
3008oxt "6000,6000,9000,8000"
3009ttg (MlTextGroup
3010uid 1060,0
3011ps "CenterOffsetStrategy"
3012stg "VerticalLayoutStrategy"
3013textVec [
3014*92 (Text
3015uid 1061,0
3016va (VaSet
3017isHidden 1
3018font "arial,8,0"
3019)
3020xt "95350,40100,100150,41100"
3021st "moduleware"
3022blo "95350,40900"
3023)
3024*93 (Text
3025uid 1062,0
3026va (VaSet
3027font "arial,8,0"
3028)
3029xt "95350,41100,100050,42100"
3030st "assignment"
3031blo "95350,41900"
3032)
3033*94 (Text
3034uid 1063,0
3035va (VaSet
3036font "arial,8,0"
3037)
3038xt "95350,42100,97150,43100"
3039st "U_5"
3040blo "95350,42900"
3041tm "InstanceNameMgr"
3042)
3043]
3044)
3045ga (GenericAssociation
3046uid 1064,0
3047ps "EdgeToEdgeStrategy"
3048matrix (Matrix
3049uid 1065,0
3050text (MLText
3051uid 1066,0
3052va (VaSet
3053font "arial,8,0"
3054)
3055xt "90000,19400,90000,19400"
3056)
3057header ""
3058)
3059elements [
3060]
3061)
3062sed 1
3063awe 1
3064portVis (PortSigDisplay
3065disp 1
3066sN 0
3067sTC 0
3068selT 0
3069)
3070prms (Property
3071pclass "params"
3072pname "params"
3073ptn "String"
3074)
3075visOptions (mwParamsVisibilityOptions
3076)
3077)
3078*95 (MWC
3079uid 1112,0
3080optionalChildren [
3081*96 (CptPort
3082uid 1121,0
3083optionalChildren [
3084*97 (Line
3085uid 1126,0
3086layer 5
3087sl 0
3088va (VaSet
3089vasetType 3
3090)
3091xt "95000,49000,95000,49000"
3092pts [
3093"95000,49000"
3094"95000,49000"
3095]
3096)
3097]
3098ps "OnEdgeStrategy"
3099shape (Triangle
3100uid 1122,0
3101ro 90
3102va (VaSet
3103vasetType 1
3104isHidden 1
3105fg "0,65535,65535"
3106)
3107xt "94250,48625,95000,49375"
3108)
3109tg (CPTG
3110uid 1123,0
3111ps "CptPortTextPlaceStrategy"
3112stg "VerticalLayoutStrategy"
3113f (Text
3114uid 1124,0
3115sl 0
3116va (VaSet
3117isHidden 1
3118font "arial,8,0"
3119)
3120xt "217200,347000,218000,348000"
3121st "s"
3122blo "217200,347800"
3123)
3124s (Text
3125uid 1125,0
3126sl 0
3127va (VaSet
3128font "arial,8,0"
3129)
3130xt "217200,348000,217200,348000"
3131blo "217200,348000"
3132)
3133)
3134thePort (LogicalPort
3135decl (Decl
3136n "s"
3137t "std_logic"
3138o 19
3139)
3140)
3141)
3142*98 (CptPort
3143uid 1127,0
3144optionalChildren [
3145*99 (Line
3146uid 1132,0
3147layer 5
3148sl 0
3149va (VaSet
3150vasetType 3
3151)
3152xt "98000,49000,98000,49000"
3153pts [
3154"98000,49000"
3155"98000,49000"
3156]
3157)
3158]
3159ps "OnEdgeStrategy"
3160shape (Triangle
3161uid 1128,0
3162ro 90
3163va (VaSet
3164vasetType 1
3165isHidden 1
3166fg "0,65535,65535"
3167)
3168xt "98000,48625,98750,49375"
3169)
3170tg (CPTG
3171uid 1129,0
3172ps "CptPortTextPlaceStrategy"
3173stg "RightVerticalLayoutStrategy"
3174f (Text
3175uid 1130,0
3176sl 0
3177va (VaSet
3178isHidden 1
3179font "arial,8,0"
3180)
3181xt "221000,348000,221600,349000"
3182st "t"
3183ju 2
3184blo "221600,348800"
3185)
3186s (Text
3187uid 1131,0
3188sl 0
3189va (VaSet
3190font "arial,8,0"
3191)
3192xt "221600,349000,221600,349000"
3193ju 2
3194blo "221600,349000"
3195)
3196)
3197thePort (LogicalPort
3198m 1
3199decl (Decl
3200n "t"
3201t "std_logic"
3202o 19
3203)
3204)
3205)
3206*100 (CommentGraphic
3207uid 1133,0
3208shape (PolyLine2D
3209pts [
3210"95000,49000"
3211"96000,48000"
3212]
3213uid 1134,0
3214layer 8
3215sl 0
3216va (VaSet
3217vasetType 1
3218transparent 1
3219fg "49152,49152,49152"
3220lineColor "26368,26368,26368"
3221lineWidth 2
3222)
3223xt "95000,48000,96000,49000"
3224)
3225oxt "6000,6000,7000,7000"
3226)
3227*101 (CommentGraphic
3228uid 1135,0
3229shape (PolyLine2D
3230pts [
3231"95000,49000"
3232"96000,50000"
3233]
3234uid 1136,0
3235layer 8
3236sl 0
3237va (VaSet
3238vasetType 1
3239transparent 1
3240fg "49152,49152,49152"
3241lineColor "26368,26368,26368"
3242lineWidth 2
3243)
3244xt "95000,49000,96000,50000"
3245)
3246oxt "6000,7000,7000,8000"
3247)
3248*102 (CommentGraphic
3249uid 1137,0
3250shape (PolyLine2D
3251pts [
3252"95988,49329"
3253"96988,49329"
3254]
3255uid 1138,0
3256layer 8
3257sl 0
3258va (VaSet
3259vasetType 1
3260transparent 1
3261fg "49152,49152,49152"
3262lineColor "26368,26368,26368"
3263lineWidth 2
3264)
3265xt "95988,49329,96988,49329"
3266)
3267oxt "6988,7329,7988,7329"
3268)
3269*103 (CommentGraphic
3270uid 1139,0
3271shape (PolyLine2D
3272pts [
3273"97000,49000"
3274"98000,49000"
3275]
3276uid 1140,0
3277layer 0
3278sl 0
3279va (VaSet
3280vasetType 1
3281transparent 1
3282fg "49152,49152,49152"
3283)
3284xt "97000,49000,98000,49000"
3285)
3286oxt "8000,7000,9000,7000"
3287)
3288*104 (CommentGraphic
3289uid 1141,0
3290shape (PolyLine2D
3291pts [
3292"95976,48730"
3293"96976,48730"
3294]
3295uid 1142,0
3296layer 8
3297sl 0
3298va (VaSet
3299vasetType 1
3300transparent 1
3301fg "49152,49152,49152"
3302lineColor "26368,26368,26368"
3303lineWidth 2
3304)
3305xt "95976,48730,96976,48730"
3306)
3307oxt "6976,6730,7976,6730"
3308)
3309]
3310shape (Rectangle
3311uid 1113,0
3312va (VaSet
3313vasetType 1
3314transparent 1
3315fg "0,65535,0"
3316lineColor "65535,65535,65535"
3317lineWidth -1
3318)
3319xt "95000,48000,98000,50000"
3320fos 1
3321)
3322showPorts 0
3323oxt "6000,6000,9000,8000"
3324ttg (MlTextGroup
3325uid 1114,0
3326ps "CenterOffsetStrategy"
3327stg "VerticalLayoutStrategy"
3328textVec [
3329*105 (Text
3330uid 1115,0
3331va (VaSet
3332isHidden 1
3333font "arial,8,0"
3334)
3335xt "95350,49100,100150,50100"
3336st "moduleware"
3337blo "95350,49900"
3338)
3339*106 (Text
3340uid 1116,0
3341va (VaSet
3342font "arial,8,0"
3343)
3344xt "95350,50100,100050,51100"
3345st "assignment"
3346blo "95350,50900"
3347)
3348*107 (Text
3349uid 1117,0
3350va (VaSet
3351font "arial,8,0"
3352)
3353xt "95350,51100,97150,52100"
3354st "U_7"
3355blo "95350,51900"
3356tm "InstanceNameMgr"
3357)
3358]
3359)
3360ga (GenericAssociation
3361uid 1118,0
3362ps "EdgeToEdgeStrategy"
3363matrix (Matrix
3364uid 1119,0
3365text (MLText
3366uid 1120,0
3367va (VaSet
3368font "arial,8,0"
3369)
3370xt "90000,28400,90000,28400"
3371)
3372header ""
3373)
3374elements [
3375]
3376)
3377sed 1
3378awe 1
3379portVis (PortSigDisplay
3380disp 1
3381sN 0
3382sTC 0
3383selT 0
3384)
3385prms (Property
3386pclass "params"
3387pname "params"
3388ptn "String"
3389)
3390visOptions (mwParamsVisibilityOptions
3391)
3392)
3393*108 (MWC
3394uid 1149,0
3395optionalChildren [
3396*109 (CptPort
3397uid 1158,0
3398optionalChildren [
3399*110 (Line
3400uid 1163,0
3401layer 5
3402sl 0
3403va (VaSet
3404vasetType 3
3405)
3406xt "95000,53000,95000,53000"
3407pts [
3408"95000,53000"
3409"95000,53000"
3410]
3411)
3412]
3413ps "OnEdgeStrategy"
3414shape (Triangle
3415uid 1159,0
3416ro 90
3417va (VaSet
3418vasetType 1
3419isHidden 1
3420fg "0,65535,65535"
3421)
3422xt "94250,52625,95000,53375"
3423)
3424tg (CPTG
3425uid 1160,0
3426ps "CptPortTextPlaceStrategy"
3427stg "VerticalLayoutStrategy"
3428f (Text
3429uid 1161,0
3430sl 0
3431va (VaSet
3432isHidden 1
3433font "arial,8,0"
3434)
3435xt "217200,351000,218000,352000"
3436st "s"
3437blo "217200,351800"
3438)
3439s (Text
3440uid 1162,0
3441sl 0
3442va (VaSet
3443font "arial,8,0"
3444)
3445xt "217200,352000,217200,352000"
3446blo "217200,352000"
3447)
3448)
3449thePort (LogicalPort
3450decl (Decl
3451n "s"
3452t "std_logic"
3453o 17
3454)
3455)
3456)
3457*111 (CptPort
3458uid 1164,0
3459optionalChildren [
3460*112 (Line
3461uid 1169,0
3462layer 5
3463sl 0
3464va (VaSet
3465vasetType 3
3466)
3467xt "98000,53000,98000,53000"
3468pts [
3469"98000,53000"
3470"98000,53000"
3471]
3472)
3473]
3474ps "OnEdgeStrategy"
3475shape (Triangle
3476uid 1165,0
3477ro 90
3478va (VaSet
3479vasetType 1
3480isHidden 1
3481fg "0,65535,65535"
3482)
3483xt "98000,52625,98750,53375"
3484)
3485tg (CPTG
3486uid 1166,0
3487ps "CptPortTextPlaceStrategy"
3488stg "RightVerticalLayoutStrategy"
3489f (Text
3490uid 1167,0
3491sl 0
3492va (VaSet
3493isHidden 1
3494font "arial,8,0"
3495)
3496xt "221000,352000,221600,353000"
3497st "t"
3498ju 2
3499blo "221600,352800"
3500)
3501s (Text
3502uid 1168,0
3503sl 0
3504va (VaSet
3505font "arial,8,0"
3506)
3507xt "221600,353000,221600,353000"
3508ju 2
3509blo "221600,353000"
3510)
3511)
3512thePort (LogicalPort
3513m 1
3514decl (Decl
3515n "t"
3516t "std_logic"
3517o 20
3518)
3519)
3520)
3521*113 (CommentGraphic
3522uid 1170,0
3523shape (PolyLine2D
3524pts [
3525"95000,53000"
3526"96000,52000"
3527]
3528uid 1171,0
3529layer 8
3530sl 0
3531va (VaSet
3532vasetType 1
3533transparent 1
3534fg "49152,49152,49152"
3535lineColor "26368,26368,26368"
3536lineWidth 2
3537)
3538xt "95000,52000,96000,53000"
3539)
3540oxt "6000,6000,7000,7000"
3541)
3542*114 (CommentGraphic
3543uid 1172,0
3544shape (PolyLine2D
3545pts [
3546"95000,53000"
3547"96000,54000"
3548]
3549uid 1173,0
3550layer 8
3551sl 0
3552va (VaSet
3553vasetType 1
3554transparent 1
3555fg "49152,49152,49152"
3556lineColor "26368,26368,26368"
3557lineWidth 2
3558)
3559xt "95000,53000,96000,54000"
3560)
3561oxt "6000,7000,7000,8000"
3562)
3563*115 (CommentGraphic
3564uid 1174,0
3565shape (PolyLine2D
3566pts [
3567"95988,53329"
3568"96988,53329"
3569]
3570uid 1175,0
3571layer 8
3572sl 0
3573va (VaSet
3574vasetType 1
3575transparent 1
3576fg "49152,49152,49152"
3577lineColor "26368,26368,26368"
3578lineWidth 2
3579)
3580xt "95988,53329,96988,53329"
3581)
3582oxt "6988,7329,7988,7329"
3583)
3584*116 (CommentGraphic
3585uid 1176,0
3586shape (PolyLine2D
3587pts [
3588"97000,53000"
3589"98000,53000"
3590]
3591uid 1177,0
3592layer 0
3593sl 0
3594va (VaSet
3595vasetType 1
3596transparent 1
3597fg "49152,49152,49152"
3598)
3599xt "97000,53000,98000,53000"
3600)
3601oxt "8000,7000,9000,7000"
3602)
3603*117 (CommentGraphic
3604uid 1178,0
3605shape (PolyLine2D
3606pts [
3607"95976,52730"
3608"96976,52730"
3609]
3610uid 1179,0
3611layer 8
3612sl 0
3613va (VaSet
3614vasetType 1
3615transparent 1
3616fg "49152,49152,49152"
3617lineColor "26368,26368,26368"
3618lineWidth 2
3619)
3620xt "95976,52730,96976,52730"
3621)
3622oxt "6976,6730,7976,6730"
3623)
3624]
3625shape (Rectangle
3626uid 1150,0
3627va (VaSet
3628vasetType 1
3629transparent 1
3630fg "0,65535,0"
3631lineColor "65535,65535,65535"
3632lineWidth -1
3633)
3634xt "95000,52000,98000,54000"
3635fos 1
3636)
3637showPorts 0
3638oxt "6000,6000,9000,8000"
3639ttg (MlTextGroup
3640uid 1151,0
3641ps "CenterOffsetStrategy"
3642stg "VerticalLayoutStrategy"
3643textVec [
3644*118 (Text
3645uid 1152,0
3646va (VaSet
3647isHidden 1
3648font "arial,8,0"
3649)
3650xt "95350,53100,100150,54100"
3651st "moduleware"
3652blo "95350,53900"
3653)
3654*119 (Text
3655uid 1153,0
3656va (VaSet
3657font "arial,8,0"
3658)
3659xt "95350,54100,100050,55100"
3660st "assignment"
3661blo "95350,54900"
3662)
3663*120 (Text
3664uid 1154,0
3665va (VaSet
3666font "arial,8,0"
3667)
3668xt "95350,55100,97150,56100"
3669st "U_8"
3670blo "95350,55900"
3671tm "InstanceNameMgr"
3672)
3673]
3674)
3675ga (GenericAssociation
3676uid 1155,0
3677ps "EdgeToEdgeStrategy"
3678matrix (Matrix
3679uid 1156,0
3680text (MLText
3681uid 1157,0
3682va (VaSet
3683font "arial,8,0"
3684)
3685xt "90000,32400,90000,32400"
3686)
3687header ""
3688)
3689elements [
3690]
3691)
3692sed 1
3693awe 1
3694portVis (PortSigDisplay
3695disp 1
3696sN 0
3697sTC 0
3698selT 0
3699)
3700prms (Property
3701pclass "params"
3702pname "params"
3703ptn "String"
3704)
3705visOptions (mwParamsVisibilityOptions
3706)
3707)
3708*121 (MWC
3709uid 1186,0
3710optionalChildren [
3711*122 (CptPort
3712uid 1195,0
3713optionalChildren [
3714*123 (Line
3715uid 1200,0
3716layer 5
3717sl 0
3718va (VaSet
3719vasetType 3
3720)
3721xt "95000,57000,95000,57000"
3722pts [
3723"95000,57000"
3724"95000,57000"
3725]
3726)
3727]
3728ps "OnEdgeStrategy"
3729shape (Triangle
3730uid 1196,0
3731ro 90
3732va (VaSet
3733vasetType 1
3734isHidden 1
3735fg "0,65535,65535"
3736)
3737xt "94250,56625,95000,57375"
3738)
3739tg (CPTG
3740uid 1197,0
3741ps "CptPortTextPlaceStrategy"
3742stg "VerticalLayoutStrategy"
3743f (Text
3744uid 1198,0
3745sl 0
3746va (VaSet
3747isHidden 1
3748font "arial,8,0"
3749)
3750xt "217200,355000,218000,356000"
3751st "s"
3752blo "217200,355800"
3753)
3754s (Text
3755uid 1199,0
3756sl 0
3757va (VaSet
3758font "arial,8,0"
3759)
3760xt "217200,356000,217200,356000"
3761blo "217200,356000"
3762)
3763)
3764thePort (LogicalPort
3765decl (Decl
3766n "s"
3767t "std_logic"
3768o 15
3769)
3770)
3771)
3772*124 (CptPort
3773uid 1201,0
3774optionalChildren [
3775*125 (Line
3776uid 1206,0
3777layer 5
3778sl 0
3779va (VaSet
3780vasetType 3
3781)
3782xt "98000,57000,98000,57000"
3783pts [
3784"98000,57000"
3785"98000,57000"
3786]
3787)
3788]
3789ps "OnEdgeStrategy"
3790shape (Triangle
3791uid 1202,0
3792ro 90
3793va (VaSet
3794vasetType 1
3795isHidden 1
3796fg "0,65535,65535"
3797)
3798xt "98000,56625,98750,57375"
3799)
3800tg (CPTG
3801uid 1203,0
3802ps "CptPortTextPlaceStrategy"
3803stg "RightVerticalLayoutStrategy"
3804f (Text
3805uid 1204,0
3806sl 0
3807va (VaSet
3808isHidden 1
3809font "arial,8,0"
3810)
3811xt "221000,356000,221600,357000"
3812st "t"
3813ju 2
3814blo "221600,356800"
3815)
3816s (Text
3817uid 1205,0
3818sl 0
3819va (VaSet
3820font "arial,8,0"
3821)
3822xt "221600,357000,221600,357000"
3823ju 2
3824blo "221600,357000"
3825)
3826)
3827thePort (LogicalPort
3828m 1
3829decl (Decl
3830n "t"
3831t "std_logic"
3832o 21
3833)
3834)
3835)
3836*126 (CommentGraphic
3837uid 1207,0
3838shape (PolyLine2D
3839pts [
3840"95000,57000"
3841"96000,56000"
3842]
3843uid 1208,0
3844layer 8
3845sl 0
3846va (VaSet
3847vasetType 1
3848transparent 1
3849fg "49152,49152,49152"
3850lineColor "26368,26368,26368"
3851lineWidth 2
3852)
3853xt "95000,56000,96000,57000"
3854)
3855oxt "6000,6000,7000,7000"
3856)
3857*127 (CommentGraphic
3858uid 1209,0
3859shape (PolyLine2D
3860pts [
3861"95000,57000"
3862"96000,58000"
3863]
3864uid 1210,0
3865layer 8
3866sl 0
3867va (VaSet
3868vasetType 1
3869transparent 1
3870fg "49152,49152,49152"
3871lineColor "26368,26368,26368"
3872lineWidth 2
3873)
3874xt "95000,57000,96000,58000"
3875)
3876oxt "6000,7000,7000,8000"
3877)
3878*128 (CommentGraphic
3879uid 1211,0
3880shape (PolyLine2D
3881pts [
3882"95988,57329"
3883"96988,57329"
3884]
3885uid 1212,0
3886layer 8
3887sl 0
3888va (VaSet
3889vasetType 1
3890transparent 1
3891fg "49152,49152,49152"
3892lineColor "26368,26368,26368"
3893lineWidth 2
3894)
3895xt "95988,57329,96988,57329"
3896)
3897oxt "6988,7329,7988,7329"
3898)
3899*129 (CommentGraphic
3900uid 1213,0
3901shape (PolyLine2D
3902pts [
3903"97000,57000"
3904"98000,57000"
3905]
3906uid 1214,0
3907layer 0
3908sl 0
3909va (VaSet
3910vasetType 1
3911transparent 1
3912fg "49152,49152,49152"
3913)
3914xt "97000,57000,98000,57000"
3915)
3916oxt "8000,7000,9000,7000"
3917)
3918*130 (CommentGraphic
3919uid 1215,0
3920shape (PolyLine2D
3921pts [
3922"95976,56730"
3923"96976,56730"
3924]
3925uid 1216,0
3926layer 8
3927sl 0
3928va (VaSet
3929vasetType 1
3930transparent 1
3931fg "49152,49152,49152"
3932lineColor "26368,26368,26368"
3933lineWidth 2
3934)
3935xt "95976,56730,96976,56730"
3936)
3937oxt "6976,6730,7976,6730"
3938)
3939]
3940shape (Rectangle
3941uid 1187,0
3942va (VaSet
3943vasetType 1
3944transparent 1
3945fg "0,65535,0"
3946lineColor "65535,65535,65535"
3947lineWidth -1
3948)
3949xt "95000,56000,98000,58000"
3950fos 1
3951)
3952showPorts 0
3953oxt "6000,6000,9000,8000"
3954ttg (MlTextGroup
3955uid 1188,0
3956ps "CenterOffsetStrategy"
3957stg "VerticalLayoutStrategy"
3958textVec [
3959*131 (Text
3960uid 1189,0
3961va (VaSet
3962isHidden 1
3963font "arial,8,0"
3964)
3965xt "95350,57100,100150,58100"
3966st "moduleware"
3967blo "95350,57900"
3968)
3969*132 (Text
3970uid 1190,0
3971va (VaSet
3972font "arial,8,0"
3973)
3974xt "95350,58100,100050,59100"
3975st "assignment"
3976blo "95350,58900"
3977)
3978*133 (Text
3979uid 1191,0
3980va (VaSet
3981font "arial,8,0"
3982)
3983xt "95350,59100,97150,60100"
3984st "U_9"
3985blo "95350,59900"
3986tm "InstanceNameMgr"
3987)
3988]
3989)
3990ga (GenericAssociation
3991uid 1192,0
3992ps "EdgeToEdgeStrategy"
3993matrix (Matrix
3994uid 1193,0
3995text (MLText
3996uid 1194,0
3997va (VaSet
3998font "arial,8,0"
3999)
4000xt "90000,36400,90000,36400"
4001)
4002header ""
4003)
4004elements [
4005]
4006)
4007sed 1
4008awe 1
4009portVis (PortSigDisplay
4010disp 1
4011sN 0
4012sTC 0
4013selT 0
4014)
4015prms (Property
4016pclass "params"
4017pname "params"
4018ptn "String"
4019)
4020visOptions (mwParamsVisibilityOptions
4021)
4022)
4023*134 (PortIoOut
4024uid 1248,0
4025shape (CompositeShape
4026uid 1249,0
4027va (VaSet
4028vasetType 1
4029fg "0,0,32768"
4030)
4031optionalChildren [
4032(Pentagon
4033uid 1250,0
4034sl 0
4035ro 270
4036xt "68500,21625,70000,22375"
4037)
4038(Line
4039uid 1251,0
4040sl 0
4041ro 270
4042xt "68000,22000,68500,22000"
4043pts [
4044"68000,22000"
4045"68500,22000"
4046]
4047)
4048]
4049)
4050stc 0
4051sf 1
4052tg (WTG
4053uid 1252,0
4054ps "PortIoTextPlaceStrategy"
4055stg "STSignalDisplayStrategy"
4056f (Text
4057uid 1253,0
4058va (VaSet
4059font "arial,8,0"
4060)
4061xt "71000,21500,75500,22500"
4062st "CLK_25_PS"
4063blo "71000,22300"
4064tm "WireNameMgr"
4065)
4066)
4067)
4068*135 (Net
4069uid 1260,0
4070decl (Decl
4071n "CLK_25_PS"
4072t "std_logic"
4073o 22
4074suid 34,0
4075)
4076declText (MLText
4077uid 1261,0
4078va (VaSet
4079font "Courier New,8,0"
4080)
4081xt "22000,6000,37000,6800"
4082st "CLK_25_PS : std_logic
4083"
4084)
4085)
4086*136 (PortIoIn
4087uid 1266,0
4088shape (CompositeShape
4089uid 1267,0
4090va (VaSet
4091vasetType 1
4092fg "0,0,32768"
4093)
4094optionalChildren [
4095(Pentagon
4096uid 1268,0
4097sl 0
4098ro 270
4099xt "37000,63625,38500,64375"
4100)
4101(Line
4102uid 1269,0
4103sl 0
4104ro 270
4105xt "38500,64000,39000,64000"
4106pts [
4107"38500,64000"
4108"39000,64000"
4109]
4110)
4111]
4112)
4113stc 0
4114sf 1
4115tg (WTG
4116uid 1270,0
4117ps "PortIoTextPlaceStrategy"
4118stg "STSignalDisplayStrategy"
4119f (Text
4120uid 1271,0
4121va (VaSet
4122font "arial,8,0"
4123)
4124xt "33000,63500,36000,64500"
4125st "do_shift"
4126ju 2
4127blo "36000,64300"
4128tm "WireNameMgr"
4129)
4130)
4131)
4132*137 (Net
4133uid 1278,0
4134decl (Decl
4135n "do_shift"
4136t "std_logic"
4137o 23
4138suid 35,0
4139)
4140declText (MLText
4141uid 1279,0
4142va (VaSet
4143font "Courier New,8,0"
4144)
4145xt "22000,4400,37000,5200"
4146st "do_shift : std_logic
4147"
4148)
4149)
4150*138 (PortIoIn
4151uid 1280,0
4152shape (CompositeShape
4153uid 1281,0
4154va (VaSet
4155vasetType 1
4156fg "0,0,32768"
4157)
4158optionalChildren [
4159(Pentagon
4160uid 1282,0
4161sl 0
4162ro 270
4163xt "37000,65625,38500,66375"
4164)
4165(Line
4166uid 1283,0
4167sl 0
4168ro 270
4169xt "38500,66000,39000,66000"
4170pts [
4171"38500,66000"
4172"39000,66000"
4173]
4174)
4175]
4176)
4177stc 0
4178sf 1
4179tg (WTG
4180uid 1284,0
4181ps "PortIoTextPlaceStrategy"
4182stg "STSignalDisplayStrategy"
4183f (Text
4184uid 1285,0
4185va (VaSet
4186font "arial,8,0"
4187)
4188xt "32700,65500,36000,66500"
4189st "direction"
4190ju 2
4191blo "36000,66300"
4192tm "WireNameMgr"
4193)
4194)
4195)
4196*139 (Net
4197uid 1292,0
4198decl (Decl
4199n "direction"
4200t "std_logic"
4201o 24
4202suid 36,0
4203)
4204declText (MLText
4205uid 1293,0
4206va (VaSet
4207font "Courier New,8,0"
4208)
4209xt "22000,3600,37000,4400"
4210st "direction : std_logic
4211"
4212)
4213)
4214*140 (Net
4215uid 1491,0
4216decl (Decl
4217n "RST_IN"
4218t "std_logic"
4219o 22
4220suid 37,0
4221)
4222declText (MLText
4223uid 1492,0
4224va (VaSet
4225font "Courier New,8,0"
4226)
4227xt "22000,2800,37000,3600"
4228st "RST_IN : std_logic
4229"
4230)
4231)
4232*141 (PortIoIn
4233uid 1499,0
4234shape (CompositeShape
4235uid 1500,0
4236va (VaSet
4237vasetType 1
4238fg "0,0,32768"
4239)
4240optionalChildren [
4241(Pentagon
4242uid 1501,0
4243sl 0
4244ro 270
4245xt "32000,37625,33500,38375"
4246)
4247(Line
4248uid 1502,0
4249sl 0
4250ro 270
4251xt "33500,38000,34000,38000"
4252pts [
4253"33500,38000"
4254"34000,38000"
4255]
4256)
4257]
4258)
4259stc 0
4260sf 1
4261tg (WTG
4262uid 1503,0
4263ps "PortIoTextPlaceStrategy"
4264stg "STSignalDisplayStrategy"
4265f (Text
4266uid 1504,0
4267va (VaSet
4268font "arial,8,0"
4269)
4270xt "27800,37500,31000,38500"
4271st "RST_IN"
4272ju 2
4273blo "31000,38300"
4274tm "WireNameMgr"
4275)
4276)
4277)
4278*142 (Wire
4279uid 163,0
4280shape (OrthoPolyLine
4281uid 164,0
4282va (VaSet
4283vasetType 3
4284)
4285xt "10000,14000,16250,14000"
4286pts [
4287"10000,14000"
4288"16250,14000"
4289]
4290)
4291start &12
4292end &25
4293sat 32
4294eat 32
4295stc 0
4296st 0
4297sf 1
4298si 0
4299tg (WTG
4300uid 167,0
4301ps "ConnStartEndStrategy"
4302stg "STSignalDisplayStrategy"
4303f (Text
4304uid 168,0
4305va (VaSet
4306isHidden 1
4307font "arial,8,0"
4308)
4309xt "11000,13000,12900,14000"
4310st "CLK"
4311blo "11000,13800"
4312tm "WireNameMgr"
4313)
4314)
4315on &17
4316)
4317*143 (Wire
4318uid 191,0
4319shape (OrthoPolyLine
4320uid 192,0
4321va (VaSet
4322vasetType 3
4323)
4324xt "32750,16000,39000,16000"
4325pts [
4326"32750,16000"
4327"39000,16000"
4328]
4329)
4330start &28
4331end &13
4332sat 32
4333eat 32
4334stc 0
4335st 0
4336sf 1
4337si 0
4338tg (WTG
4339uid 195,0
4340ps "ConnStartEndStrategy"
4341stg "STSignalDisplayStrategy"
4342f (Text
4343uid 196,0
4344va (VaSet
4345isHidden 1
4346font "arial,8,0"
4347)
4348xt "34000,15000,37100,16000"
4349st "CLK_50"
4350blo "34000,15800"
4351tm "WireNameMgr"
4352)
4353)
4354on &15
4355)
4356*144 (Wire
4357uid 209,0
4358optionalChildren [
4359*145 (BdJunction
4360uid 233,0
4361ps "OnConnectorStrategy"
4362shape (Circle
4363uid 234,0
4364va (VaSet
4365vasetType 1
4366)
4367xt "35600,13600,36400,14400"
4368radius 400
4369)
4370)
4371]
4372shape (OrthoPolyLine
4373uid 210,0
4374va (VaSet
4375vasetType 3
4376)
4377xt "32750,14000,39000,14000"
4378pts [
4379"32750,14000"
4380"39000,14000"
4381]
4382)
4383start &26
4384end &14
4385sat 32
4386eat 32
4387stc 0
4388st 0
4389sf 1
4390si 0
4391tg (WTG
4392uid 213,0
4393ps "ConnStartEndStrategy"
4394stg "STSignalDisplayStrategy"
4395f (Text
4396uid 214,0
4397va (VaSet
4398isHidden 1
4399font "arial,8,0"
4400)
4401xt "34000,13000,37100,14000"
4402st "CLK_25"
4403blo "34000,13800"
4404tm "WireNameMgr"
4405)
4406)
4407on &16
4408)
4409*146 (Wire
4410uid 229,0
4411shape (OrthoPolyLine
4412uid 230,0
4413va (VaSet
4414vasetType 3
4415)
4416xt "16000,14000,36000,26000"
4417pts [
4418"36000,14000"
4419"36000,23000"
4420"16000,23000"
4421"16000,26000"
4422"20250,26000"
4423]
4424)
4425start &145
4426end &19
4427sat 32
4428eat 32
4429stc 0
4430st 0
4431sf 1
4432si 0
4433tg (WTG
4434uid 231,0
4435ps "ConnStartEndStrategy"
4436stg "STSignalDisplayStrategy"
4437f (Text
4438uid 232,0
4439va (VaSet
4440font "arial,8,0"
4441)
4442xt "17000,25000,20100,26000"
4443st "CLK_25"
4444blo "17000,25800"
4445tm "WireNameMgr"
4446)
4447)
4448on &16
4449)
4450*147 (Wire
4451uid 526,0
4452optionalChildren [
4453*148 (BdJunction
4454uid 1462,0
4455ps "OnConnectorStrategy"
4456shape (Circle
4457uid 1463,0
4458va (VaSet
4459vasetType 1
4460)
4461xt "35600,30600,36400,31400"
4462radius 400
4463)
4464)
4465]
4466shape (OrthoPolyLine
4467uid 527,0
4468va (VaSet
4469vasetType 3
4470)
4471xt "32750,28000,39250,34000"
4472pts [
4473"32750,28000"
4474"36000,28000"
4475"36000,34000"
4476"39250,34000"
4477]
4478)
4479start &20
4480end &33
4481sat 32
4482eat 32
4483st 0
4484sf 1
4485si 0
4486tg (WTG
4487uid 528,0
4488ps "ConnStartEndStrategy"
4489stg "STSignalDisplayStrategy"
4490f (Text
4491uid 529,0
4492va (VaSet
4493font "arial,8,0"
4494)
4495xt "34750,33000,39150,34000"
4496st "CLK0_OUT"
4497blo "34750,33800"
4498tm "WireNameMgr"
4499)
4500)
4501on &44
4502)
4503*149 (Wire
4504uid 532,0
4505optionalChildren [
4506*150 (BdJunction
4507uid 1073,0
4508ps "OnConnectorStrategy"
4509shape (Circle
4510uid 1074,0
4511va (VaSet
4512vasetType 1
4513)
4514xt "92600,39600,93400,40400"
4515radius 400
4516)
4517)
4518]
4519shape (OrthoPolyLine
4520uid 533,0
4521va (VaSet
4522vasetType 3
4523)
4524xt "29000,35000,93000,48000"
4525pts [
4526"90750,40000"
4527"93000,40000"
4528"93000,48000"
4529"29000,48000"
4530"29000,35000"
4531"39250,35000"
4532]
4533)
4534start &52
4535end &34
4536sat 32
4537eat 32
4538stc 0
4539st 0
4540sf 1
4541si 0
4542tg (WTG
4543uid 536,0
4544ps "ConnStartEndStrategy"
4545stg "STSignalDisplayStrategy"
4546f (Text
4547uid 537,0
4548va (VaSet
4549isHidden 1
4550font "arial,8,0"
4551)
4552xt "92750,39000,96850,40000"
4553st "PSCLK_IN"
4554blo "92750,39800"
4555tm "WireNameMgr"
4556)
4557)
4558on &45
4559)
4560*151 (Wire
4561uid 546,0
4562shape (OrthoPolyLine
4563uid 547,0
4564va (VaSet
4565vasetType 3
4566)
4567xt "30000,36000,92000,47000"
4568pts [
4569"90750,41000"
4570"92000,41000"
4571"92000,47000"
4572"30000,47000"
4573"30000,36000"
4574"39250,36000"
4575]
4576)
4577start &53
4578end &35
4579sat 32
4580eat 32
4581stc 0
4582st 0
4583sf 1
4584si 0
4585tg (WTG
4586uid 550,0
4587ps "ConnStartEndStrategy"
4588stg "STSignalDisplayStrategy"
4589f (Text
4590uid 551,0
4591va (VaSet
4592isHidden 1
4593font "arial,8,0"
4594)
4595xt "92750,40000,96450,41000"
4596st "PSEN_IN"
4597blo "92750,40800"
4598tm "WireNameMgr"
4599)
4600)
4601on &46
4602)
4603*152 (Wire
4604uid 588,0
4605optionalChildren [
4606*153 (BdJunction
4607uid 1184,0
4608ps "OnConnectorStrategy"
4609shape (Circle
4610uid 1185,0
4611va (VaSet
4612vasetType 1
4613)
4614xt "57600,35600,58400,36400"
4615radius 400
4616)
4617)
4618]
4619shape (OrthoPolyLine
4620uid 589,0
4621va (VaSet
4622vasetType 3
4623)
4624xt "55750,36000,63250,36000"
4625pts [
4626"55750,36000"
4627"63250,36000"
4628]
4629)
4630start &39
4631end &55
4632sat 32
4633eat 32
4634stc 0
4635st 0
4636sf 1
4637si 0
4638tg (WTG
4639uid 592,0
4640ps "ConnStartEndStrategy"
4641stg "STSignalDisplayStrategy"
4642f (Text
4643uid 593,0
4644va (VaSet
4645isHidden 1
4646font "arial,8,0"
4647)
4648xt "57750,35000,63950,36000"
4649st "PSDONE_OUT"
4650blo "57750,35800"
4651tm "WireNameMgr"
4652)
4653)
4654on &48
4655)
4656*154 (Wire
4657uid 602,0
4658optionalChildren [
4659*155 (BdJunction
4660uid 1221,0
4661ps "OnConnectorStrategy"
4662shape (Circle
4663uid 1222,0
4664va (VaSet
4665vasetType 1
4666)
4667xt "56600,34600,57400,35400"
4668radius 400
4669)
4670)
4671]
4672shape (OrthoPolyLine
4673uid 603,0
4674va (VaSet
4675vasetType 3
4676)
4677xt "55750,35000,63250,35000"
4678pts [
4679"55750,35000"
4680"63250,35000"
4681]
4682)
4683start &38
4684end &56
4685sat 32
4686eat 32
4687stc 0
4688st 0
4689sf 1
4690si 0
4691tg (WTG
4692uid 606,0
4693ps "ConnStartEndStrategy"
4694stg "STSignalDisplayStrategy"
4695f (Text
4696uid 607,0
4697va (VaSet
4698isHidden 1
4699font "arial,8,0"
4700)
4701xt "57750,34000,63850,35000"
4702st "LOCKED_OUT"
4703blo "57750,34800"
4704tm "WireNameMgr"
4705)
4706)
4707on &49
4708)
4709*156 (Wire
4710uid 841,0
4711optionalChildren [
4712*157 (BdJunction
4713uid 1147,0
4714ps "OnConnectorStrategy"
4715shape (Circle
4716uid 1148,0
4717va (VaSet
4718vasetType 1
4719)
4720xt "90350,45600,91150,46400"
4721radius 400
4722)
4723)
4724]
4725shape (OrthoPolyLine
4726uid 842,0
4727va (VaSet
4728vasetType 3
4729)
4730xt "31000,37000,90750,46000"
4731pts [
4732"90750,42000"
4733"90750,46000"
4734"31000,46000"
4735"31000,37000"
4736"39250,37000"
4737]
4738)
4739start &54
4740end &36
4741sat 32
4742eat 32
4743stc 0
4744st 0
4745sf 1
4746si 0
4747tg (WTG
4748uid 843,0
4749ps "ConnStartEndStrategy"
4750stg "STSignalDisplayStrategy"
4751f (Text
4752uid 844,0
4753ro 270
4754va (VaSet
4755isHidden 1
4756font "arial,8,0"
4757)
4758xt "53000,41900,54000,48000"
4759st "PSINCDEC_IN"
4760blo "53800,48000"
4761tm "WireNameMgr"
4762)
4763)
4764on &47
4765)
4766*158 (Wire
4767uid 855,0
4768shape (OrthoPolyLine
4769uid 856,0
4770va (VaSet
4771vasetType 3
4772)
4773xt "90750,31000,100000,31000"
4774pts [
4775"90750,31000"
4776"100000,31000"
4777]
4778)
4779start &60
4780end &67
4781sat 32
4782eat 32
4783stc 0
4784st 0
4785sf 1
4786si 0
4787tg (WTG
4788uid 859,0
4789ps "ConnStartEndStrategy"
4790stg "STSignalDisplayStrategy"
4791f (Text
4792uid 860,0
4793va (VaSet
4794isHidden 1
4795font "arial,8,0"
4796)
4797xt "92000,30000,94200,31000"
4798st "ready"
4799blo "92000,30800"
4800tm "WireNameMgr"
4801)
4802)
4803on &66
4804)
4805*159 (Wire
4806uid 869,0
4807shape (OrthoPolyLine
4808uid 870,0
4809va (VaSet
4810vasetType 3
4811)
4812xt "90750,32000,100000,32000"
4813pts [
4814"90750,32000"
4815"100000,32000"
4816]
4817)
4818start &59
4819end &69
4820sat 32
4821eat 32
4822stc 0
4823st 0
4824sf 1
4825si 0
4826tg (WTG
4827uid 873,0
4828ps "ConnStartEndStrategy"
4829stg "STSignalDisplayStrategy"
4830f (Text
4831uid 874,0
4832va (VaSet
4833isHidden 1
4834font "arial,8,0"
4835)
4836xt "92000,31000,94900,32000"
4837st "shifting"
4838blo "92000,31800"
4839tm "WireNameMgr"
4840)
4841)
4842on &68
4843)
4844*160 (Wire
4845uid 883,0
4846shape (OrthoPolyLine
4847uid 884,0
4848va (VaSet
4849vasetType 3
4850lineWidth 2
4851)
4852xt "90750,34000,100000,34000"
4853pts [
4854"90750,34000"
4855"100000,34000"
4856]
4857)
4858start &61
4859end &71
4860sat 32
4861eat 32
4862sty 1
4863stc 0
4864st 0
4865sf 1
4866si 0
4867tg (WTG
4868uid 887,0
4869ps "ConnStartEndStrategy"
4870stg "STSignalDisplayStrategy"
4871f (Text
4872uid 888,0
4873va (VaSet
4874isHidden 1
4875font "arial,8,0"
4876)
4877xt "92000,33000,94200,34000"
4878st "offset"
4879blo "92000,33800"
4880tm "WireNameMgr"
4881)
4882)
4883on &70
4884)
4885*161 (Wire
4886uid 897,0
4887shape (OrthoPolyLine
4888uid 898,0
4889va (VaSet
4890vasetType 3
4891)
4892xt "90750,35000,100000,35000"
4893pts [
4894"90750,35000"
4895"100000,35000"
4896]
4897)
4898start &62
4899end &73
4900sat 32
4901eat 32
4902stc 0
4903st 0
4904sf 1
4905si 0
4906tg (WTG
4907uid 901,0
4908ps "ConnStartEndStrategy"
4909stg "STSignalDisplayStrategy"
4910f (Text
4911uid 902,0
4912va (VaSet
4913isHidden 1
4914font "arial,8,0"
4915)
4916xt "92000,34000,97200,35000"
4917st "DCM_locked"
4918blo "92000,34800"
4919tm "WireNameMgr"
4920)
4921)
4922on &72
4923)
4924*162 (Wire
4925uid 974,0
4926shape (OrthoPolyLine
4927uid 975,0
4928va (VaSet
4929vasetType 3
4930)
4931xt "98000,40000,110000,40000"
4932pts [
4933"98000,40000"
4934"110000,40000"
4935]
4936)
4937start &85
4938end &74
4939ss 0
4940sat 32
4941eat 32
4942st 0
4943sf 1
4944si 0
4945tg (WTG
4946uid 978,0
4947ps "ConnStartEndStrategy"
4948stg "STSignalDisplayStrategy"
4949f (Text
4950uid 979,0
4951va (VaSet
4952isHidden 1
4953font "arial,8,0"
4954)
4955xt "100000,39000,105000,40000"
4956st "PSCLK_OUT"
4957blo "100000,39800"
4958tm "WireNameMgr"
4959)
4960)
4961on &75
4962)
4963*163 (Wire
4964uid 1002,0
4965shape (OrthoPolyLine
4966uid 1003,0
4967va (VaSet
4968vasetType 3
4969)
4970xt "98000,49000,110000,49000"
4971pts [
4972"98000,49000"
4973"110000,49000"
4974]
4975)
4976start &98
4977end &76
4978ss 0
4979sat 32
4980eat 32
4981st 0
4982sf 1
4983si 0
4984tg (WTG
4985uid 1006,0
4986ps "ConnStartEndStrategy"
4987stg "STSignalDisplayStrategy"
4988f (Text
4989uid 1007,0
4990va (VaSet
4991isHidden 1
4992font "arial,8,0"
4993)
4994xt "100000,48000,107000,49000"
4995st "PSINCDEC_OUT"
4996blo "100000,48800"
4997tm "WireNameMgr"
4998)
4999)
5000on &77
5001)
5002*164 (Wire
5003uid 1016,0
5004shape (OrthoPolyLine
5005uid 1017,0
5006va (VaSet
5007vasetType 3
5008)
5009xt "98000,53000,110000,53000"
5010pts [
5011"98000,53000"
5012"110000,53000"
5013]
5014)
5015start &111
5016end &78
5017sat 32
5018eat 32
5019st 0
5020sf 1
5021si 0
5022tg (WTG
5023uid 1020,0
5024ps "ConnStartEndStrategy"
5025stg "STSignalDisplayStrategy"
5026f (Text
5027uid 1021,0
5028va (VaSet
5029isHidden 1
5030font "arial,8,0"
5031)
5032xt "100000,52000,107800,53000"
5033st "PSDONE_extraOUT"
5034blo "100000,52800"
5035tm "WireNameMgr"
5036)
5037)
5038on &79
5039)
5040*165 (Wire
5041uid 1030,0
5042shape (OrthoPolyLine
5043uid 1031,0
5044va (VaSet
5045vasetType 3
5046)
5047xt "98000,57000,110000,57000"
5048pts [
5049"98000,57000"
5050"110000,57000"
5051]
5052)
5053start &124
5054end &80
5055ss 0
5056sat 32
5057eat 32
5058st 0
5059sf 1
5060si 0
5061tg (WTG
5062uid 1034,0
5063ps "ConnStartEndStrategy"
5064stg "STSignalDisplayStrategy"
5065f (Text
5066uid 1035,0
5067va (VaSet
5068isHidden 1
5069font "arial,8,0"
5070)
5071xt "100000,56000,107700,57000"
5072st "LOCKED_extraOUT"
5073blo "100000,56800"
5074tm "WireNameMgr"
5075)
5076)
5077on &81
5078)
5079*166 (Wire
5080uid 1069,0
5081shape (OrthoPolyLine
5082uid 1070,0
5083va (VaSet
5084vasetType 3
5085)
5086xt "93000,40000,95000,40000"
5087pts [
5088"93000,40000"
5089"95000,40000"
5090]
5091)
5092start &150
5093end &83
5094sat 32
5095eat 32
5096stc 0
5097st 0
5098sf 1
5099si 0
5100tg (WTG
5101uid 1071,0
5102ps "ConnStartEndStrategy"
5103stg "STSignalDisplayStrategy"
5104f (Text
5105uid 1072,0
5106va (VaSet
5107font "arial,8,0"
5108)
5109xt "90000,39000,94100,40000"
5110st "PSCLK_IN"
5111blo "90000,39800"
5112tm "WireNameMgr"
5113)
5114)
5115on &45
5116)
5117*167 (Wire
5118uid 1143,0
5119shape (OrthoPolyLine
5120uid 1144,0
5121va (VaSet
5122vasetType 3
5123)
5124xt "90750,46000,95000,49000"
5125pts [
5126"90750,46000"
5127"91000,46000"
5128"91000,49000"
5129"95000,49000"
5130]
5131)
5132start &157
5133end &96
5134sat 32
5135eat 32
5136stc 0
5137st 0
5138sf 1
5139si 0
5140tg (WTG
5141uid 1145,0
5142ps "ConnStartEndStrategy"
5143stg "STSignalDisplayStrategy"
5144f (Text
5145uid 1146,0
5146va (VaSet
5147font "arial,8,0"
5148)
5149xt "88000,48000,94100,49000"
5150st "PSINCDEC_IN"
5151blo "88000,48800"
5152tm "WireNameMgr"
5153)
5154)
5155on &47
5156)
5157*168 (Wire
5158uid 1180,0
5159shape (OrthoPolyLine
5160uid 1181,0
5161va (VaSet
5162vasetType 3
5163)
5164xt "58000,36000,95000,53000"
5165pts [
5166"58000,36000"
5167"58000,53000"
5168"95000,53000"
5169]
5170)
5171start &153
5172end &109
5173sat 32
5174eat 32
5175stc 0
5176st 0
5177sf 1
5178si 0
5179tg (WTG
5180uid 1182,0
5181ps "ConnStartEndStrategy"
5182stg "STSignalDisplayStrategy"
5183f (Text
5184uid 1183,0
5185va (VaSet
5186font "arial,8,0"
5187)
5188xt "88000,52000,94200,53000"
5189st "PSDONE_OUT"
5190blo "88000,52800"
5191tm "WireNameMgr"
5192)
5193)
5194on &48
5195)
5196*169 (Wire
5197uid 1217,0
5198shape (OrthoPolyLine
5199uid 1218,0
5200va (VaSet
5201vasetType 3
5202)
5203xt "57000,35000,95000,57000"
5204pts [
5205"57000,35000"
5206"57000,57000"
5207"95000,57000"
5208]
5209)
5210start &155
5211end &122
5212sat 32
5213eat 32
5214stc 0
5215st 0
5216sf 1
5217si 0
5218tg (WTG
5219uid 1219,0
5220ps "ConnStartEndStrategy"
5221stg "STSignalDisplayStrategy"
5222f (Text
5223uid 1220,0
5224va (VaSet
5225font "arial,8,0"
5226)
5227xt "88000,56000,94100,57000"
5228st "LOCKED_OUT"
5229blo "88000,56800"
5230tm "WireNameMgr"
5231)
5232)
5233on &49
5234)
5235*170 (Wire
5236uid 1254,0
5237shape (OrthoPolyLine
5238uid 1255,0
5239va (VaSet
5240vasetType 3
5241)
5242xt "55750,22000,68000,34000"
5243pts [
5244"55750,34000"
5245"57000,34000"
5246"57000,22000"
5247"68000,22000"
5248]
5249)
5250start &37
5251end &134
5252sat 32
5253eat 32
5254stc 0
5255st 0
5256sf 1
5257si 0
5258tg (WTG
5259uid 1258,0
5260ps "ConnStartEndStrategy"
5261stg "STSignalDisplayStrategy"
5262f (Text
5263uid 1259,0
5264va (VaSet
5265isHidden 1
5266font "arial,8,0"
5267)
5268xt "57750,33000,62250,34000"
5269st "CLK_25_PS"
5270blo "57750,33800"
5271tm "WireNameMgr"
5272)
5273)
5274on &135
5275)
5276*171 (Wire
5277uid 1272,0
5278shape (OrthoPolyLine
5279uid 1273,0
5280va (VaSet
5281vasetType 3
5282)
5283xt "39000,41000,63250,64000"
5284pts [
5285"39000,64000"
5286"49000,64000"
5287"49000,41000"
5288"63250,41000"
5289]
5290)
5291start &136
5292end &57
5293sat 32
5294eat 32
5295stc 0
5296st 0
5297sf 1
5298si 0
5299tg (WTG
5300uid 1276,0
5301ps "ConnStartEndStrategy"
5302stg "STSignalDisplayStrategy"
5303f (Text
5304uid 1277,0
5305va (VaSet
5306isHidden 1
5307font "arial,8,0"
5308)
5309xt "41000,63000,44000,64000"
5310st "do_shift"
5311blo "41000,63800"
5312tm "WireNameMgr"
5313)
5314)
5315on &137
5316)
5317*172 (Wire
5318uid 1286,0
5319shape (OrthoPolyLine
5320uid 1287,0
5321va (VaSet
5322vasetType 3
5323)
5324xt "39000,42000,63250,66000"
5325pts [
5326"39000,66000"
5327"50000,66000"
5328"50000,42000"
5329"63250,42000"
5330]
5331)
5332start &138
5333end &58
5334sat 32
5335eat 32
5336stc 0
5337st 0
5338sf 1
5339si 0
5340tg (WTG
5341uid 1290,0
5342ps "ConnStartEndStrategy"
5343stg "STSignalDisplayStrategy"
5344f (Text
5345uid 1291,0
5346va (VaSet
5347isHidden 1
5348font "arial,8,0"
5349)
5350xt "41000,65000,44300,66000"
5351st "direction"
5352blo "41000,65800"
5353tm "WireNameMgr"
5354)
5355)
5356on &139
5357)
5358*173 (Wire
5359uid 1458,0
5360shape (OrthoPolyLine
5361uid 1459,0
5362va (VaSet
5363vasetType 3
5364)
5365xt "36000,31000,63250,31000"
5366pts [
5367"36000,31000"
5368"63250,31000"
5369]
5370)
5371start &148
5372end &51
5373sat 32
5374eat 32
5375stc 0
5376st 0
5377sf 1
5378si 0
5379tg (WTG
5380uid 1460,0
5381ps "ConnStartEndStrategy"
5382stg "STSignalDisplayStrategy"
5383f (Text
5384uid 1461,0
5385va (VaSet
5386font "arial,8,0"
5387)
5388xt "58250,30000,62650,31000"
5389st "CLK0_OUT"
5390blo "58250,30800"
5391tm "WireNameMgr"
5392)
5393)
5394on &44
5395)
5396*174 (Wire
5397uid 1493,0
5398shape (OrthoPolyLine
5399uid 1494,0
5400va (VaSet
5401vasetType 3
5402)
5403xt "34000,38000,39250,38000"
5404pts [
5405"34000,38000"
5406"39250,38000"
5407]
5408)
5409start &141
5410end &40
5411sat 32
5412eat 32
5413stc 0
5414st 0
5415sf 1
5416si 0
5417tg (WTG
5418uid 1497,0
5419ps "ConnStartEndStrategy"
5420stg "STSignalDisplayStrategy"
5421f (Text
5422uid 1498,0
5423va (VaSet
5424isHidden 1
5425font "arial,8,0"
5426)
5427xt "35000,37000,38200,38000"
5428st "RST_IN"
5429blo "35000,37800"
5430tm "WireNameMgr"
5431)
5432)
5433on &140
5434)
5435]
5436bg "65535,65535,65535"
5437grid (Grid
5438origin "0,0"
5439isVisible 1
5440isActive 1
5441xSpacing 1000
5442xySpacing 1000
5443xShown 1
5444yShown 1
5445color "26368,26368,26368"
5446)
5447packageList *175 (PackageList
5448uid 41,0
5449stg "VerticalLayoutStrategy"
5450textVec [
5451*176 (Text
5452uid 42,0
5453va (VaSet
5454font "arial,8,1"
5455)
5456xt "0,0,5400,1000"
5457st "Package List"
5458blo "0,800"
5459)
5460*177 (MLText
5461uid 43,0
5462va (VaSet
5463font "arial,8,0"
5464)
5465xt "0,1000,12300,7000"
5466st "LIBRARY ieee;
5467USE ieee.std_logic_1164.all;
5468USE ieee.std_logic_arith.all;
5469USE ieee.numeric_std.all;
5470LIBRARY UNISIM;
5471--USE UNISIM.Vcomponents.all;"
5472tm "PackageList"
5473)
5474]
5475)
5476compDirBlock (MlTextGroup
5477uid 44,0
5478stg "VerticalLayoutStrategy"
5479textVec [
5480*178 (Text
5481uid 45,0
5482va (VaSet
5483isHidden 1
5484font "arial,8,1"
5485)
5486xt "20000,0,28100,1000"
5487st "Compiler Directives"
5488blo "20000,800"
5489)
5490*179 (Text
5491uid 46,0
5492va (VaSet
5493isHidden 1
5494font "arial,8,1"
5495)
5496xt "20000,1000,29600,2000"
5497st "Pre-module directives:"
5498blo "20000,1800"
5499)
5500*180 (MLText
5501uid 47,0
5502va (VaSet
5503isHidden 1
5504font "arial,8,0"
5505)
5506xt "20000,2000,27500,4000"
5507st "`resetall
5508`timescale 1ns/10ps"
5509tm "BdCompilerDirectivesTextMgr"
5510)
5511*181 (Text
5512uid 48,0
5513va (VaSet
5514isHidden 1
5515font "arial,8,1"
5516)
5517xt "20000,4000,30100,5000"
5518st "Post-module directives:"
5519blo "20000,4800"
5520)
5521*182 (MLText
5522uid 49,0
5523va (VaSet
5524isHidden 1
5525font "arial,8,0"
5526)
5527xt "20000,0,20000,0"
5528tm "BdCompilerDirectivesTextMgr"
5529)
5530*183 (Text
5531uid 50,0
5532va (VaSet
5533isHidden 1
5534font "arial,8,1"
5535)
5536xt "20000,5000,29900,6000"
5537st "End-module directives:"
5538blo "20000,5800"
5539)
5540*184 (MLText
5541uid 51,0
5542va (VaSet
5543isHidden 1
5544font "arial,8,0"
5545)
5546xt "20000,6000,20000,6000"
5547tm "BdCompilerDirectivesTextMgr"
5548)
5549]
5550associable 1
5551)
5552windowSize "0,0,1281,1024"
5553viewArea "51518,24931,132154,91431"
5554cachedDiagramExtent "0,0,221600,357000"
5555pageSetupInfo (PageSetupInfo
5556ptrCmd ""
5557toPrinter 1
5558exportedDirectories [
5559"$HDS_PROJECT_DIR/HTMLExport"
5560]
5561exportStdIncludeRefs 1
5562exportStdPackageRefs 1
5563)
5564hasePageBreakOrigin 1
5565pageBreakOrigin "0,0"
5566lastUid 1552,0
5567defaultCommentText (CommentText
5568shape (Rectangle
5569layer 0
5570va (VaSet
5571vasetType 1
5572fg "65280,65280,46080"
5573lineColor "0,0,32768"
5574)
5575xt "0,0,15000,5000"
5576)
5577text (MLText
5578va (VaSet
5579fg "0,0,32768"
5580font "arial,8,0"
5581)
5582xt "200,200,2000,1200"
5583st "
5584Text
5585"
5586tm "CommentText"
5587wrapOption 3
5588visibleHeight 4600
5589visibleWidth 14600
5590)
5591)
5592defaultPanel (Panel
5593shape (RectFrame
5594va (VaSet
5595vasetType 1
5596fg "65535,65535,65535"
5597lineColor "32768,0,0"
5598lineWidth 3
5599)
5600xt "0,0,20000,20000"
5601)
5602title (TextAssociate
5603ps "TopLeftStrategy"
5604text (Text
5605va (VaSet
5606font "arial,8,1"
5607)
5608xt "1000,1000,3800,2000"
5609st "Panel0"
5610blo "1000,1800"
5611tm "PanelText"
5612)
5613)
5614)
5615defaultBlk (Blk
5616shape (Rectangle
5617va (VaSet
5618vasetType 1
5619fg "39936,56832,65280"
5620lineColor "0,0,32768"
5621lineWidth 2
5622)
5623xt "0,0,8000,10000"
5624)
5625ttg (MlTextGroup
5626ps "CenterOffsetStrategy"
5627stg "VerticalLayoutStrategy"
5628textVec [
5629*185 (Text
5630va (VaSet
5631font "arial,8,1"
5632)
5633xt "2200,3500,5800,4500"
5634st "<library>"
5635blo "2200,4300"
5636tm "BdLibraryNameMgr"
5637)
5638*186 (Text
5639va (VaSet
5640font "arial,8,1"
5641)
5642xt "2200,4500,5600,5500"
5643st "<block>"
5644blo "2200,5300"
5645tm "BlkNameMgr"
5646)
5647*187 (Text
5648va (VaSet
5649font "arial,8,1"
5650)
5651xt "2200,5500,4000,6500"
5652st "U_0"
5653blo "2200,6300"
5654tm "InstanceNameMgr"
5655)
5656]
5657)
5658ga (GenericAssociation
5659ps "EdgeToEdgeStrategy"
5660matrix (Matrix
5661text (MLText
5662va (VaSet
5663font "Courier New,8,0"
5664)
5665xt "2200,13500,2200,13500"
5666)
5667header ""
5668)
5669elements [
5670]
5671)
5672viewicon (ZoomableIcon
5673sl 0
5674va (VaSet
5675vasetType 1
5676fg "49152,49152,49152"
5677)
5678xt "0,0,1500,1500"
5679iconName "UnknownFile.png"
5680iconMaskName "UnknownFile.msk"
5681)
5682viewiconposition 0
5683)
5684defaultMWComponent (MWC
5685shape (Rectangle
5686va (VaSet
5687vasetType 1
5688fg "0,65535,0"
5689lineColor "0,32896,0"
5690lineWidth 2
5691)
5692xt "0,0,8000,10000"
5693)
5694ttg (MlTextGroup
5695ps "CenterOffsetStrategy"
5696stg "VerticalLayoutStrategy"
5697textVec [
5698*188 (Text
5699va (VaSet
5700font "arial,8,1"
5701)
5702xt "550,3500,3450,4500"
5703st "Library"
5704blo "550,4300"
5705)
5706*189 (Text
5707va (VaSet
5708font "arial,8,1"
5709)
5710xt "550,4500,7450,5500"
5711st "MWComponent"
5712blo "550,5300"
5713)
5714*190 (Text
5715va (VaSet
5716font "arial,8,1"
5717)
5718xt "550,5500,2350,6500"
5719st "U_0"
5720blo "550,6300"
5721tm "InstanceNameMgr"
5722)
5723]
5724)
5725ga (GenericAssociation
5726ps "EdgeToEdgeStrategy"
5727matrix (Matrix
5728text (MLText
5729va (VaSet
5730font "Courier New,8,0"
5731)
5732xt "-6450,1500,-6450,1500"
5733)
5734header ""
5735)
5736elements [
5737]
5738)
5739portVis (PortSigDisplay
5740)
5741prms (Property
5742pclass "params"
5743pname "params"
5744ptn "String"
5745)
5746visOptions (mwParamsVisibilityOptions
5747)
5748)
5749defaultSaComponent (SaComponent
5750shape (Rectangle
5751va (VaSet
5752vasetType 1
5753fg "0,65535,0"
5754lineColor "0,32896,0"
5755lineWidth 2
5756)
5757xt "0,0,8000,10000"
5758)
5759ttg (MlTextGroup
5760ps "CenterOffsetStrategy"
5761stg "VerticalLayoutStrategy"
5762textVec [
5763*191 (Text
5764va (VaSet
5765font "arial,8,1"
5766)
5767xt "900,3500,3800,4500"
5768st "Library"
5769blo "900,4300"
5770tm "BdLibraryNameMgr"
5771)
5772*192 (Text
5773va (VaSet
5774font "arial,8,1"
5775)
5776xt "900,4500,7100,5500"
5777st "SaComponent"
5778blo "900,5300"
5779tm "CptNameMgr"
5780)
5781*193 (Text
5782va (VaSet
5783font "arial,8,1"
5784)
5785xt "900,5500,2700,6500"
5786st "U_0"
5787blo "900,6300"
5788tm "InstanceNameMgr"
5789)
5790]
5791)
5792ga (GenericAssociation
5793ps "EdgeToEdgeStrategy"
5794matrix (Matrix
5795text (MLText
5796va (VaSet
5797font "Courier New,8,0"
5798)
5799xt "-6100,1500,-6100,1500"
5800)
5801header ""
5802)
5803elements [
5804]
5805)
5806viewicon (ZoomableIcon
5807sl 0
5808va (VaSet
5809vasetType 1
5810fg "49152,49152,49152"
5811)
5812xt "0,0,1500,1500"
5813iconName "UnknownFile.png"
5814iconMaskName "UnknownFile.msk"
5815)
5816viewiconposition 0
5817portVis (PortSigDisplay
5818)
5819archFileType "UNKNOWN"
5820)
5821defaultVhdlComponent (VhdlComponent
5822shape (Rectangle
5823va (VaSet
5824vasetType 1
5825fg "0,65535,0"
5826lineColor "0,32896,0"
5827lineWidth 2
5828)
5829xt "0,0,8000,10000"
5830)
5831ttg (MlTextGroup
5832ps "CenterOffsetStrategy"
5833stg "VerticalLayoutStrategy"
5834textVec [
5835*194 (Text
5836va (VaSet
5837font "arial,8,1"
5838)
5839xt "500,3500,3400,4500"
5840st "Library"
5841blo "500,4300"
5842)
5843*195 (Text
5844va (VaSet
5845font "arial,8,1"
5846)
5847xt "500,4500,7500,5500"
5848st "VhdlComponent"
5849blo "500,5300"
5850)
5851*196 (Text
5852va (VaSet
5853font "arial,8,1"
5854)
5855xt "500,5500,2300,6500"
5856st "U_0"
5857blo "500,6300"
5858tm "InstanceNameMgr"
5859)
5860]
5861)
5862ga (GenericAssociation
5863ps "EdgeToEdgeStrategy"
5864matrix (Matrix
5865text (MLText
5866va (VaSet
5867font "Courier New,8,0"
5868)
5869xt "-6500,1500,-6500,1500"
5870)
5871header ""
5872)
5873elements [
5874]
5875)
5876portVis (PortSigDisplay
5877)
5878entityPath ""
5879archName ""
5880archPath ""
5881)
5882defaultVerilogComponent (VerilogComponent
5883shape (Rectangle
5884va (VaSet
5885vasetType 1
5886fg "0,65535,0"
5887lineColor "0,32896,0"
5888lineWidth 2
5889)
5890xt "-450,0,8450,10000"
5891)
5892ttg (MlTextGroup
5893ps "CenterOffsetStrategy"
5894stg "VerticalLayoutStrategy"
5895textVec [
5896*197 (Text
5897va (VaSet
5898font "arial,8,1"
5899)
5900xt "50,3500,2950,4500"
5901st "Library"
5902blo "50,4300"
5903)
5904*198 (Text
5905va (VaSet
5906font "arial,8,1"
5907)
5908xt "50,4500,7950,5500"
5909st "VerilogComponent"
5910blo "50,5300"
5911)
5912*199 (Text
5913va (VaSet
5914font "arial,8,1"
5915)
5916xt "50,5500,1850,6500"
5917st "U_0"
5918blo "50,6300"
5919tm "InstanceNameMgr"
5920)
5921]
5922)
5923ga (GenericAssociation
5924ps "EdgeToEdgeStrategy"
5925matrix (Matrix
5926text (MLText
5927va (VaSet
5928font "Courier New,8,0"
5929)
5930xt "-6950,1500,-6950,1500"
5931)
5932header ""
5933)
5934elements [
5935]
5936)
5937entityPath ""
5938)
5939defaultHdlText (HdlText
5940shape (Rectangle
5941va (VaSet
5942vasetType 1
5943fg "65535,65535,37120"
5944lineColor "0,0,32768"
5945lineWidth 2
5946)
5947xt "0,0,8000,10000"
5948)
5949ttg (MlTextGroup
5950ps "CenterOffsetStrategy"
5951stg "VerticalLayoutStrategy"
5952textVec [
5953*200 (Text
5954va (VaSet
5955font "arial,8,1"
5956)
5957xt "3150,4000,4850,5000"
5958st "eb1"
5959blo "3150,4800"
5960tm "HdlTextNameMgr"
5961)
5962*201 (Text
5963va (VaSet
5964font "arial,8,1"
5965)
5966xt "3150,5000,3950,6000"
5967st "1"
5968blo "3150,5800"
5969tm "HdlTextNumberMgr"
5970)
5971]
5972)
5973viewicon (ZoomableIcon
5974sl 0
5975va (VaSet
5976vasetType 1
5977fg "49152,49152,49152"
5978)
5979xt "0,0,1500,1500"
5980iconName "UnknownFile.png"
5981iconMaskName "UnknownFile.msk"
5982)
5983viewiconposition 0
5984)
5985defaultEmbeddedText (EmbeddedText
5986commentText (CommentText
5987ps "CenterOffsetStrategy"
5988shape (Rectangle
5989va (VaSet
5990vasetType 1
5991fg "65535,65535,65535"
5992lineColor "0,0,32768"
5993lineWidth 2
5994)
5995xt "0,0,18000,5000"
5996)
5997text (MLText
5998va (VaSet
5999font "arial,8,0"
6000)
6001xt "200,200,2000,1200"
6002st "
6003Text
6004"
6005tm "HdlTextMgr"
6006wrapOption 3
6007visibleHeight 4600
6008visibleWidth 17600
6009)
6010)
6011)
6012defaultGlobalConnector (GlobalConnector
6013shape (Circle
6014va (VaSet
6015vasetType 1
6016fg "65535,65535,0"
6017)
6018xt "-1000,-1000,1000,1000"
6019radius 1000
6020)
6021name (Text
6022va (VaSet
6023font "arial,8,1"
6024)
6025xt "-500,-500,500,500"
6026st "G"
6027blo "-500,300"
6028)
6029)
6030defaultRipper (Ripper
6031ps "OnConnectorStrategy"
6032shape (Line2D
6033pts [
6034"0,0"
6035"1000,1000"
6036]
6037va (VaSet
6038vasetType 1
6039)
6040xt "0,0,1000,1000"
6041)
6042)
6043defaultBdJunction (BdJunction
6044ps "OnConnectorStrategy"
6045shape (Circle
6046va (VaSet
6047vasetType 1
6048)
6049xt "-400,-400,400,400"
6050radius 400
6051)
6052)
6053defaultPortIoIn (PortIoIn
6054shape (CompositeShape
6055va (VaSet
6056vasetType 1
6057fg "0,0,32768"
6058)
6059optionalChildren [
6060(Pentagon
6061sl 0
6062ro 270
6063xt "-2000,-375,-500,375"
6064)
6065(Line
6066sl 0
6067ro 270
6068xt "-500,0,0,0"
6069pts [
6070"-500,0"
6071"0,0"
6072]
6073)
6074]
6075)
6076stc 0
6077sf 1
6078tg (WTG
6079ps "PortIoTextPlaceStrategy"
6080stg "STSignalDisplayStrategy"
6081f (Text
6082va (VaSet
6083font "arial,8,0"
6084)
6085xt "-1375,-1000,-1375,-1000"
6086ju 2
6087blo "-1375,-1000"
6088tm "WireNameMgr"
6089)
6090)
6091)
6092defaultPortIoOut (PortIoOut
6093shape (CompositeShape
6094va (VaSet
6095vasetType 1
6096fg "0,0,32768"
6097)
6098optionalChildren [
6099(Pentagon
6100sl 0
6101ro 270
6102xt "500,-375,2000,375"
6103)
6104(Line
6105sl 0
6106ro 270
6107xt "0,0,500,0"
6108pts [
6109"0,0"
6110"500,0"
6111]
6112)
6113]
6114)
6115stc 0
6116sf 1
6117tg (WTG
6118ps "PortIoTextPlaceStrategy"
6119stg "STSignalDisplayStrategy"
6120f (Text
6121va (VaSet
6122font "arial,8,0"
6123)
6124xt "625,-1000,625,-1000"
6125blo "625,-1000"
6126tm "WireNameMgr"
6127)
6128)
6129)
6130defaultPortIoInOut (PortIoInOut
6131shape (CompositeShape
6132va (VaSet
6133vasetType 1
6134fg "0,0,32768"
6135)
6136optionalChildren [
6137(Hexagon
6138sl 0
6139xt "500,-375,2000,375"
6140)
6141(Line
6142sl 0
6143xt "0,0,500,0"
6144pts [
6145"0,0"
6146"500,0"
6147]
6148)
6149]
6150)
6151stc 0
6152sf 1
6153tg (WTG
6154ps "PortIoTextPlaceStrategy"
6155stg "STSignalDisplayStrategy"
6156f (Text
6157va (VaSet
6158font "arial,8,0"
6159)
6160xt "0,-375,0,-375"
6161blo "0,-375"
6162tm "WireNameMgr"
6163)
6164)
6165)
6166defaultPortIoBuffer (PortIoBuffer
6167shape (CompositeShape
6168va (VaSet
6169vasetType 1
6170fg "65535,65535,65535"
6171lineColor "0,0,32768"
6172)
6173optionalChildren [
6174(Hexagon
6175sl 0
6176xt "500,-375,2000,375"
6177)
6178(Line
6179sl 0
6180xt "0,0,500,0"
6181pts [
6182"0,0"
6183"500,0"
6184]
6185)
6186]
6187)
6188stc 0
6189sf 1
6190tg (WTG
6191ps "PortIoTextPlaceStrategy"
6192stg "STSignalDisplayStrategy"
6193f (Text
6194va (VaSet
6195font "arial,8,0"
6196)
6197xt "0,-375,0,-375"
6198blo "0,-375"
6199tm "WireNameMgr"
6200)
6201)
6202)
6203defaultSignal (Wire
6204shape (OrthoPolyLine
6205va (VaSet
6206vasetType 3
6207)
6208pts [
6209"0,0"
6210"0,0"
6211]
6212)
6213ss 0
6214es 0
6215sat 32
6216eat 32
6217st 0
6218sf 1
6219si 0
6220tg (WTG
6221ps "ConnStartEndStrategy"
6222stg "STSignalDisplayStrategy"
6223f (Text
6224va (VaSet
6225font "arial,8,0"
6226)
6227xt "0,0,1900,1000"
6228st "sig0"
6229blo "0,800"
6230tm "WireNameMgr"
6231)
6232)
6233)
6234defaultBus (Wire
6235shape (OrthoPolyLine
6236va (VaSet
6237vasetType 3
6238lineWidth 2
6239)
6240pts [
6241"0,0"
6242"0,0"
6243]
6244)
6245ss 0
6246es 0
6247sat 32
6248eat 32
6249sty 1
6250st 0
6251sf 1
6252si 0
6253tg (WTG
6254ps "ConnStartEndStrategy"
6255stg "STSignalDisplayStrategy"
6256f (Text
6257va (VaSet
6258font "arial,8,0"
6259)
6260xt "0,0,2400,1000"
6261st "dbus0"
6262blo "0,800"
6263tm "WireNameMgr"
6264)
6265)
6266)
6267defaultBundle (Bundle
6268shape (OrthoPolyLine
6269va (VaSet
6270vasetType 3
6271lineColor "32768,0,0"
6272lineWidth 2
6273)
6274pts [
6275"0,0"
6276"0,0"
6277]
6278)
6279ss 0
6280es 0
6281sat 32
6282eat 32
6283textGroup (BiTextGroup
6284ps "ConnStartEndStrategy"
6285stg "VerticalLayoutStrategy"
6286first (Text
6287va (VaSet
6288font "arial,8,0"
6289)
6290xt "0,0,3000,1000"
6291st "bundle0"
6292blo "0,800"
6293tm "BundleNameMgr"
6294)
6295second (MLText
6296va (VaSet
6297font "arial,8,0"
6298)
6299xt "0,1000,1000,2000"
6300st "()"
6301tm "BundleContentsMgr"
6302)
6303)
6304bundleNet &0
6305)
6306defaultPortMapFrame (PortMapFrame
6307ps "PortMapFrameStrategy"
6308shape (RectFrame
6309va (VaSet
6310vasetType 1
6311fg "65535,65535,65535"
6312lineColor "0,0,32768"
6313lineWidth 2
6314)
6315xt "0,0,10000,12000"
6316)
6317portMapText (BiTextGroup
6318ps "BottomRightOffsetStrategy"
6319stg "VerticalLayoutStrategy"
6320first (MLText
6321va (VaSet
6322font "arial,8,0"
6323)
6324)
6325second (MLText
6326va (VaSet
6327font "arial,8,0"
6328)
6329tm "PortMapTextMgr"
6330)
6331)
6332)
6333defaultGenFrame (Frame
6334shape (RectFrame
6335va (VaSet
6336vasetType 1
6337fg "65535,65535,65535"
6338lineColor "26368,26368,26368"
6339lineStyle 2
6340lineWidth 3
6341)
6342xt "0,0,20000,20000"
6343)
6344title (TextAssociate
6345ps "TopLeftStrategy"
6346text (MLText
6347va (VaSet
6348font "arial,8,0"
6349)
6350xt "0,-1100,12600,-100"
6351st "g0: FOR i IN 0 TO n GENERATE"
6352tm "FrameTitleTextMgr"
6353)
6354)
6355seqNum (FrameSequenceNumber
6356ps "TopLeftStrategy"
6357shape (Rectangle
6358va (VaSet
6359vasetType 1
6360fg "65535,65535,65535"
6361)
6362xt "50,50,1250,1450"
6363)
6364num (Text
6365va (VaSet
6366font "arial,8,0"
6367)
6368xt "250,250,1050,1250"
6369st "1"
6370blo "250,1050"
6371tm "FrameSeqNumMgr"
6372)
6373)
6374decls (MlTextGroup
6375ps "BottomRightOffsetStrategy"
6376stg "VerticalLayoutStrategy"
6377textVec [
6378*202 (Text
6379va (VaSet
6380font "arial,8,1"
6381)
6382xt "14100,20000,22000,21000"
6383st "Frame Declarations"
6384blo "14100,20800"
6385)
6386*203 (MLText
6387va (VaSet
6388font "arial,8,0"
6389)
6390xt "14100,21000,14100,21000"
6391tm "BdFrameDeclTextMgr"
6392)
6393]
6394)
6395)
6396defaultBlockFrame (Frame
6397shape (RectFrame
6398va (VaSet
6399vasetType 1
6400fg "65535,65535,65535"
6401lineColor "26368,26368,26368"
6402lineStyle 1
6403lineWidth 3
6404)
6405xt "0,0,20000,20000"
6406)
6407title (TextAssociate
6408ps "TopLeftStrategy"
6409text (MLText
6410va (VaSet
6411font "arial,8,0"
6412)
6413xt "0,-1100,7400,-100"
6414st "b0: BLOCK (guard)"
6415tm "FrameTitleTextMgr"
6416)
6417)
6418seqNum (FrameSequenceNumber
6419ps "TopLeftStrategy"
6420shape (Rectangle
6421va (VaSet
6422vasetType 1
6423fg "65535,65535,65535"
6424)
6425xt "50,50,1250,1450"
6426)
6427num (Text
6428va (VaSet
6429font "arial,8,0"
6430)
6431xt "250,250,1050,1250"
6432st "1"
6433blo "250,1050"
6434tm "FrameSeqNumMgr"
6435)
6436)
6437decls (MlTextGroup
6438ps "BottomRightOffsetStrategy"
6439stg "VerticalLayoutStrategy"
6440textVec [
6441*204 (Text
6442va (VaSet
6443font "arial,8,1"
6444)
6445xt "14100,20000,22000,21000"
6446st "Frame Declarations"
6447blo "14100,20800"
6448)
6449*205 (MLText
6450va (VaSet
6451font "arial,8,0"
6452)
6453xt "14100,21000,14100,21000"
6454tm "BdFrameDeclTextMgr"
6455)
6456]
6457)
6458style 3
6459)
6460defaultSaCptPort (CptPort
6461ps "OnEdgeStrategy"
6462shape (Triangle
6463ro 90
6464va (VaSet
6465vasetType 1
6466fg "0,65535,0"
6467)
6468xt "0,0,750,750"
6469)
6470tg (CPTG
6471ps "CptPortTextPlaceStrategy"
6472stg "VerticalLayoutStrategy"
6473f (Text
6474va (VaSet
6475font "arial,8,0"
6476)
6477xt "0,750,1800,1750"
6478st "Port"
6479blo "0,1550"
6480)
6481)
6482thePort (LogicalPort
6483decl (Decl
6484n "Port"
6485t ""
6486o 0
6487)
6488)
6489)
6490defaultSaCptPortBuffer (CptPort
6491ps "OnEdgeStrategy"
6492shape (Diamond
6493va (VaSet
6494vasetType 1
6495fg "65535,65535,65535"
6496)
6497xt "0,0,750,750"
6498)
6499tg (CPTG
6500ps "CptPortTextPlaceStrategy"
6501stg "VerticalLayoutStrategy"
6502f (Text
6503va (VaSet
6504font "arial,8,0"
6505)
6506xt "0,750,1800,1750"
6507st "Port"
6508blo "0,1550"
6509)
6510)
6511thePort (LogicalPort
6512m 3
6513decl (Decl
6514n "Port"
6515t ""
6516o 0
6517)
6518)
6519)
6520defaultDeclText (MLText
6521va (VaSet
6522font "Courier New,8,0"
6523)
6524)
6525archDeclarativeBlock (BdArchDeclBlock
6526uid 1,0
6527stg "BdArchDeclBlockLS"
6528declLabel (Text
6529uid 2,0
6530va (VaSet
6531font "arial,8,1"
6532)
6533xt "20000,0,25400,1000"
6534st "Declarations"
6535blo "20000,800"
6536)
6537portLabel (Text
6538uid 3,0
6539va (VaSet
6540font "arial,8,1"
6541)
6542xt "20000,1000,22700,2000"
6543st "Ports:"
6544blo "20000,1800"
6545)
6546preUserLabel (Text
6547uid 4,0
6548va (VaSet
6549isHidden 1
6550font "arial,8,1"
6551)
6552xt "20000,0,23800,1000"
6553st "Pre User:"
6554blo "20000,800"
6555)
6556preUserText (MLText
6557uid 5,0
6558va (VaSet
6559isHidden 1
6560font "Courier New,8,0"
6561)
6562xt "20000,0,20000,0"
6563tm "BdDeclarativeTextMgr"
6564)
6565diagSignalLabel (Text
6566uid 6,0
6567va (VaSet
6568font "arial,8,1"
6569)
6570xt "20000,14800,27100,15800"
6571st "Diagram Signals:"
6572blo "20000,15600"
6573)
6574postUserLabel (Text
6575uid 7,0
6576va (VaSet
6577isHidden 1
6578font "arial,8,1"
6579)
6580xt "20000,0,24700,1000"
6581st "Post User:"
6582blo "20000,800"
6583)
6584postUserText (MLText
6585uid 8,0
6586va (VaSet
6587isHidden 1
6588font "Courier New,8,0"
6589)
6590xt "20000,0,20000,0"
6591tm "BdDeclarativeTextMgr"
6592)
6593)
6594commonDM (CommonDM
6595ldm (LogicalDM
6596suid 37,0
6597usingSuid 1
6598emptyRow *206 (LEmptyRow
6599)
6600uid 54,0
6601optionalChildren [
6602*207 (RefLabelRowHdr
6603)
6604*208 (TitleRowHdr
6605)
6606*209 (FilterRowHdr
6607)
6608*210 (RefLabelColHdr
6609tm "RefLabelColHdrMgr"
6610)
6611*211 (RowExpandColHdr
6612tm "RowExpandColHdrMgr"
6613)
6614*212 (GroupColHdr
6615tm "GroupColHdrMgr"
6616)
6617*213 (NameColHdr
6618tm "BlockDiagramNameColHdrMgr"
6619)
6620*214 (ModeColHdr
6621tm "BlockDiagramModeColHdrMgr"
6622)
6623*215 (TypeColHdr
6624tm "BlockDiagramTypeColHdrMgr"
6625)
6626*216 (BoundsColHdr
6627tm "BlockDiagramBoundsColHdrMgr"
6628)
6629*217 (InitColHdr
6630tm "BlockDiagramInitColHdrMgr"
6631)
6632*218 (EolColHdr
6633tm "BlockDiagramEolColHdrMgr"
6634)
6635*219 (LeafLogPort
6636port (LogicalPort
6637m 1
6638decl (Decl
6639n "CLK_50"
6640t "std_logic"
6641o 3
6642suid 9,0
6643)
6644)
6645uid 237,0
6646)
6647*220 (LeafLogPort
6648port (LogicalPort
6649m 1
6650decl (Decl
6651n "CLK_25"
6652t "std_logic"
6653o 2
6654suid 10,0
6655)
6656)
6657uid 239,0
6658)
6659*221 (LeafLogPort
6660port (LogicalPort
6661decl (Decl
6662n "CLK"
6663t "std_logic"
6664o 1
6665suid 13,0
6666)
6667)
6668uid 295,0
6669)
6670*222 (LeafLogPort
6671port (LogicalPort
6672m 4
6673decl (Decl
6674n "CLK0_OUT"
6675t "std_logic"
6676o 14
6677suid 14,0
6678)
6679)
6680uid 614,0
6681)
6682*223 (LeafLogPort
6683port (LogicalPort
6684m 4
6685decl (Decl
6686n "PSCLK_IN"
6687t "std_logic"
6688o 16
6689suid 15,0
6690)
6691)
6692uid 616,0
6693)
6694*224 (LeafLogPort
6695port (LogicalPort
6696m 4
6697decl (Decl
6698n "PSEN_IN"
6699t "std_logic"
6700o 18
6701suid 16,0
6702)
6703)
6704uid 618,0
6705)
6706*225 (LeafLogPort
6707port (LogicalPort
6708m 4
6709decl (Decl
6710n "PSINCDEC_IN"
6711t "std_logic"
6712o 19
6713suid 17,0
6714)
6715)
6716uid 620,0
6717)
6718*226 (LeafLogPort
6719port (LogicalPort
6720m 4
6721decl (Decl
6722n "PSDONE_OUT"
6723t "std_logic"
6724o 17
6725suid 19,0
6726)
6727)
6728uid 624,0
6729)
6730*227 (LeafLogPort
6731port (LogicalPort
6732m 4
6733decl (Decl
6734n "LOCKED_OUT"
6735t "std_logic"
6736o 15
6737suid 20,0
6738)
6739)
6740uid 626,0
6741)
6742*228 (LeafLogPort
6743port (LogicalPort
6744m 1
6745decl (Decl
6746n "ready"
6747t "std_logic"
6748preAdd 0
6749posAdd 0
6750o 12
6751suid 23,0
6752i "'0'"
6753)
6754)
6755uid 923,0
6756)
6757*229 (LeafLogPort
6758port (LogicalPort
6759m 1
6760decl (Decl
6761n "shifting"
6762t "std_logic"
6763prec "-- status:"
6764preAdd 0
6765posAdd 0
6766o 13
6767suid 24,0
6768i "'0'"
6769)
6770)
6771uid 925,0
6772)
6773*230 (LeafLogPort
6774port (LogicalPort
6775m 1
6776decl (Decl
6777n "offset"
6778t "std_logic_vector"
6779b "(7 downto 0)"
6780preAdd 0
6781posAdd 0
6782o 11
6783suid 25,0
6784i "(OTHERS => '0')"
6785)
6786)
6787uid 927,0
6788)
6789*231 (LeafLogPort
6790port (LogicalPort
6791m 1
6792decl (Decl
6793n "DCM_locked"
6794t "std_logic"
6795preAdd 0
6796posAdd 0
6797o 4
6798suid 26,0
6799)
6800)
6801uid 929,0
6802)
6803*232 (LeafLogPort
6804port (LogicalPort
6805m 1
6806decl (Decl
6807n "PSCLK_OUT"
6808t "std_logic"
6809o 17
6810suid 28,0
6811)
6812)
6813uid 956,0
6814scheme 0
6815)
6816*233 (LeafLogPort
6817port (LogicalPort
6818m 1
6819decl (Decl
6820n "PSINCDEC_OUT"
6821t "std_logic"
6822o 19
6823suid 30,0
6824)
6825)
6826uid 960,0
6827scheme 0
6828)
6829*234 (LeafLogPort
6830port (LogicalPort
6831m 1
6832decl (Decl
6833n "PSDONE_extraOUT"
6834t "std_logic"
6835o 20
6836suid 31,0
6837)
6838)
6839uid 962,0
6840scheme 0
6841)
6842*235 (LeafLogPort
6843port (LogicalPort
6844m 1
6845decl (Decl
6846n "LOCKED_extraOUT"
6847t "std_logic"
6848o 21
6849suid 32,0
6850)
6851)
6852uid 964,0
6853scheme 0
6854)
6855*236 (LeafLogPort
6856port (LogicalPort
6857m 1
6858decl (Decl
6859n "CLK_25_PS"
6860t "std_logic"
6861o 22
6862suid 34,0
6863)
6864)
6865uid 1246,0
6866scheme 0
6867)
6868*237 (LeafLogPort
6869port (LogicalPort
6870decl (Decl
6871n "do_shift"
6872t "std_logic"
6873o 23
6874suid 35,0
6875)
6876)
6877uid 1262,0
6878scheme 0
6879)
6880*238 (LeafLogPort
6881port (LogicalPort
6882decl (Decl
6883n "direction"
6884t "std_logic"
6885o 24
6886suid 36,0
6887)
6888)
6889uid 1264,0
6890scheme 0
6891)
6892*239 (LeafLogPort
6893port (LogicalPort
6894decl (Decl
6895n "RST_IN"
6896t "std_logic"
6897o 22
6898suid 37,0
6899)
6900)
6901uid 1505,0
6902)
6903]
6904)
6905pdm (PhysicalDM
6906displayShortBounds 1
6907editShortBounds 1
6908uid 67,0
6909optionalChildren [
6910*240 (Sheet
6911sheetRow (SheetRow
6912headerVa (MVa
6913cellColor "49152,49152,49152"
6914fontColor "0,0,0"
6915font "Tahoma,10,0"
6916)
6917cellVa (MVa
6918cellColor "65535,65535,65535"
6919fontColor "0,0,0"
6920font "Tahoma,10,0"
6921)
6922groupVa (MVa
6923cellColor "39936,56832,65280"
6924fontColor "0,0,0"
6925font "Tahoma,10,0"
6926)
6927emptyMRCItem *241 (MRCItem
6928litem &206
6929pos 21
6930dimension 20
6931)
6932uid 69,0
6933optionalChildren [
6934*242 (MRCItem
6935litem &207
6936pos 0
6937dimension 20
6938uid 70,0
6939)
6940*243 (MRCItem
6941litem &208
6942pos 1
6943dimension 23
6944uid 71,0
6945)
6946*244 (MRCItem
6947litem &209
6948pos 2
6949hidden 1
6950dimension 20
6951uid 72,0
6952)
6953*245 (MRCItem
6954litem &219
6955pos 0
6956dimension 20
6957uid 238,0
6958)
6959*246 (MRCItem
6960litem &220
6961pos 1
6962dimension 20
6963uid 240,0
6964)
6965*247 (MRCItem
6966litem &221
6967pos 2
6968dimension 20
6969uid 296,0
6970)
6971*248 (MRCItem
6972litem &222
6973pos 8
6974dimension 20
6975uid 615,0
6976)
6977*249 (MRCItem
6978litem &223
6979pos 3
6980dimension 20
6981uid 617,0
6982)
6983*250 (MRCItem
6984litem &224
6985pos 4
6986dimension 20
6987uid 619,0
6988)
6989*251 (MRCItem
6990litem &225
6991pos 5
6992dimension 20
6993uid 621,0
6994)
6995*252 (MRCItem
6996litem &226
6997pos 6
6998dimension 20
6999uid 625,0
7000)
7001*253 (MRCItem
7002litem &227
7003pos 7
7004dimension 20
7005uid 627,0
7006)
7007*254 (MRCItem
7008litem &228
7009pos 9
7010dimension 20
7011uid 924,0
7012)
7013*255 (MRCItem
7014litem &229
7015pos 10
7016dimension 20
7017uid 926,0
7018)
7019*256 (MRCItem
7020litem &230
7021pos 11
7022dimension 20
7023uid 928,0
7024)
7025*257 (MRCItem
7026litem &231
7027pos 12
7028dimension 20
7029uid 930,0
7030)
7031*258 (MRCItem
7032litem &232
7033pos 13
7034dimension 20
7035uid 957,0
7036)
7037*259 (MRCItem
7038litem &233
7039pos 14
7040dimension 20
7041uid 961,0
7042)
7043*260 (MRCItem
7044litem &234
7045pos 15
7046dimension 20
7047uid 963,0
7048)
7049*261 (MRCItem
7050litem &235
7051pos 16
7052dimension 20
7053uid 965,0
7054)
7055*262 (MRCItem
7056litem &236
7057pos 17
7058dimension 20
7059uid 1247,0
7060)
7061*263 (MRCItem
7062litem &237
7063pos 18
7064dimension 20
7065uid 1263,0
7066)
7067*264 (MRCItem
7068litem &238
7069pos 19
7070dimension 20
7071uid 1265,0
7072)
7073*265 (MRCItem
7074litem &239
7075pos 20
7076dimension 20
7077uid 1506,0
7078)
7079]
7080)
7081sheetCol (SheetCol
7082propVa (MVa
7083cellColor "0,49152,49152"
7084fontColor "0,0,0"
7085font "Tahoma,10,0"
7086textAngle 90
7087)
7088uid 73,0
7089optionalChildren [
7090*266 (MRCItem
7091litem &210
7092pos 0
7093dimension 20
7094uid 74,0
7095)
7096*267 (MRCItem
7097litem &212
7098pos 1
7099dimension 50
7100uid 75,0
7101)
7102*268 (MRCItem
7103litem &213
7104pos 2
7105dimension 100
7106uid 76,0
7107)
7108*269 (MRCItem
7109litem &214
7110pos 3
7111dimension 50
7112uid 77,0
7113)
7114*270 (MRCItem
7115litem &215
7116pos 4
7117dimension 100
7118uid 78,0
7119)
7120*271 (MRCItem
7121litem &216
7122pos 5
7123dimension 100
7124uid 79,0
7125)
7126*272 (MRCItem
7127litem &217
7128pos 6
7129dimension 50
7130uid 80,0
7131)
7132*273 (MRCItem
7133litem &218
7134pos 7
7135dimension 80
7136uid 81,0
7137)
7138]
7139)
7140fixedCol 4
7141fixedRow 2
7142name "Ports"
7143uid 68,0
7144vaOverrides [
7145]
7146)
7147]
7148)
7149uid 53,0
7150)
7151genericsCommonDM (CommonDM
7152ldm (LogicalDM
7153emptyRow *274 (LEmptyRow
7154)
7155uid 83,0
7156optionalChildren [
7157*275 (RefLabelRowHdr
7158)
7159*276 (TitleRowHdr
7160)
7161*277 (FilterRowHdr
7162)
7163*278 (RefLabelColHdr
7164tm "RefLabelColHdrMgr"
7165)
7166*279 (RowExpandColHdr
7167tm "RowExpandColHdrMgr"
7168)
7169*280 (GroupColHdr
7170tm "GroupColHdrMgr"
7171)
7172*281 (NameColHdr
7173tm "GenericNameColHdrMgr"
7174)
7175*282 (TypeColHdr
7176tm "GenericTypeColHdrMgr"
7177)
7178*283 (InitColHdr
7179tm "GenericValueColHdrMgr"
7180)
7181*284 (PragmaColHdr
7182tm "GenericPragmaColHdrMgr"
7183)
7184*285 (EolColHdr
7185tm "GenericEolColHdrMgr"
7186)
7187]
7188)
7189pdm (PhysicalDM
7190displayShortBounds 1
7191editShortBounds 1
7192uid 95,0
7193optionalChildren [
7194*286 (Sheet
7195sheetRow (SheetRow
7196headerVa (MVa
7197cellColor "49152,49152,49152"
7198fontColor "0,0,0"
7199font "Tahoma,10,0"
7200)
7201cellVa (MVa
7202cellColor "65535,65535,65535"
7203fontColor "0,0,0"
7204font "Tahoma,10,0"
7205)
7206groupVa (MVa
7207cellColor "39936,56832,65280"
7208fontColor "0,0,0"
7209font "Tahoma,10,0"
7210)
7211emptyMRCItem *287 (MRCItem
7212litem &274
7213pos 0
7214dimension 20
7215)
7216uid 97,0
7217optionalChildren [
7218*288 (MRCItem
7219litem &275
7220pos 0
7221dimension 20
7222uid 98,0
7223)
7224*289 (MRCItem
7225litem &276
7226pos 1
7227dimension 23
7228uid 99,0
7229)
7230*290 (MRCItem
7231litem &277
7232pos 2
7233hidden 1
7234dimension 20
7235uid 100,0
7236)
7237]
7238)
7239sheetCol (SheetCol
7240propVa (MVa
7241cellColor "0,49152,49152"
7242fontColor "0,0,0"
7243font "Tahoma,10,0"
7244textAngle 90
7245)
7246uid 101,0
7247optionalChildren [
7248*291 (MRCItem
7249litem &278
7250pos 0
7251dimension 20
7252uid 102,0
7253)
7254*292 (MRCItem
7255litem &280
7256pos 1
7257dimension 50
7258uid 103,0
7259)
7260*293 (MRCItem
7261litem &281
7262pos 2
7263dimension 100
7264uid 104,0
7265)
7266*294 (MRCItem
7267litem &282
7268pos 3
7269dimension 100
7270uid 105,0
7271)
7272*295 (MRCItem
7273litem &283
7274pos 4
7275dimension 50
7276uid 106,0
7277)
7278*296 (MRCItem
7279litem &284
7280pos 5
7281dimension 50
7282uid 107,0
7283)
7284*297 (MRCItem
7285litem &285
7286pos 6
7287dimension 80
7288uid 108,0
7289)
7290]
7291)
7292fixedCol 3
7293fixedRow 2
7294name "Ports"
7295uid 96,0
7296vaOverrides [
7297]
7298)
7299]
7300)
7301uid 82,0
7302type 1
7303)
7304activeModelName "BlockDiag"
7305)
Note: See TracBrowser for help on using the repository browser.