source: firmware/FAD/FACT_FAD_20MHz_VAR_PS/FACT_FAD_lib/hds/data_generator/symbol.sb@ 10121

Last change on this file since 10121 was 10121, checked in by neise, 13 years ago
synchronous trigger handling added continous soft trigger generation. ---> control frequency via 'send 0x21??' each step increases trigger delay by 12.5ms 0x2100 = 40Hz 0x21FF = 0.3Hz
File size: 56.8 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "IEEE"
7unitName "STD_LOGIC_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "STD_LOGIC_ARITH"
13itemName "ALL"
14)
15(DmPackageRef
16library "IEEE"
17unitName "STD_LOGIC_UNSIGNED"
18itemName "ALL"
19)
20(DmPackageRef
21library "fact_fad_lib"
22unitName "fad_definitions"
23)
24]
25libraryRefs [
26"IEEE"
27"fact_fad_lib"
28]
29)
30version "24.1"
31appVersion "2009.1 (Build 12)"
32model (Symbol
33commonDM (CommonDM
34ldm (LogicalDM
35ordering 1
36suid 63,0
37usingSuid 1
38emptyRow *1 (LEmptyRow
39)
40uid 136,0
41optionalChildren [
42*2 (RefLabelRowHdr
43)
44*3 (TitleRowHdr
45)
46*4 (FilterRowHdr
47)
48*5 (RefLabelColHdr
49tm "RefLabelColHdrMgr"
50)
51*6 (RowExpandColHdr
52tm "RowExpandColHdrMgr"
53)
54*7 (GroupColHdr
55tm "GroupColHdrMgr"
56)
57*8 (NameColHdr
58tm "NameColHdrMgr"
59)
60*9 (ModeColHdr
61tm "ModeColHdrMgr"
62)
63*10 (TypeColHdr
64tm "TypeColHdrMgr"
65)
66*11 (BoundsColHdr
67tm "BoundsColHdrMgr"
68)
69*12 (InitColHdr
70tm "InitColHdrMgr"
71)
72*13 (EolColHdr
73tm "EolColHdrMgr"
74)
75*14 (LogPort
76port (LogicalPort
77decl (Decl
78n "clk"
79t "std_logic"
80prec "-- led : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');"
81preAdd 0
82posAdd 0
83o 1
84suid 1,0
85)
86)
87uid 109,0
88)
89*15 (LogPort
90port (LogicalPort
91m 1
92decl (Decl
93n "data_out"
94t "std_logic_vector"
95b "(63 downto 0)"
96preAdd 0
97posAdd 0
98o 2
99suid 2,0
100)
101)
102uid 111,0
103)
104*16 (LogPort
105port (LogicalPort
106m 1
107decl (Decl
108n "addr_out"
109t "std_logic_vector"
110b "(RAM_ADDR_WIDTH-1 downto 0)"
111preAdd 0
112posAdd 0
113o 3
114suid 3,0
115)
116)
117uid 113,0
118)
119*17 (LogPort
120port (LogicalPort
121m 1
122decl (Decl
123n "write_ea"
124t "std_logic_vector"
125b "(0 downto 0)"
126preAdd 0
127posAdd 0
128o 4
129suid 6,0
130i "\"0\""
131)
132)
133uid 119,0
134)
135*18 (LogPort
136port (LogicalPort
137decl (Decl
138n "ram_start_addr"
139t "std_logic_vector"
140b "(RAM_ADDR_WIDTH-1 downto 0)"
141preAdd 0
142posAdd 0
143o 5
144suid 7,0
145)
146)
147uid 121,0
148)
149*19 (LogPort
150port (LogicalPort
151decl (Decl
152n "board_id"
153t "std_logic_vector"
154b "(3 downto 0)"
155preAdd 0
156posAdd 0
157o 24
158suid 9,0
159)
160)
161uid 125,0
162)
163*20 (LogPort
164port (LogicalPort
165decl (Decl
166n "trigger_id"
167t "std_logic_vector"
168b "(47 downto 0)"
169preAdd 0
170posAdd 0
171o 26
172suid 10,0
173)
174)
175uid 127,0
176)
177*21 (LogPort
178port (LogicalPort
179decl (Decl
180n "trigger"
181t "std_logic"
182preAdd 0
183posAdd 0
184o 27
185suid 11,0
186)
187)
188uid 129,0
189)
190*22 (LogPort
191port (LogicalPort
192decl (Decl
193n "crate_id"
194t "std_logic_vector"
195b "(1 downto 0)"
196o 25
197suid 12,0
198)
199)
200uid 290,0
201)
202*23 (LogPort
203port (LogicalPort
204decl (Decl
205n "ram_write_ea"
206t "std_logic"
207o 6
208suid 16,0
209)
210)
211uid 421,0
212)
213*24 (LogPort
214port (LogicalPort
215m 1
216decl (Decl
217n "ram_write_ready"
218t "std_logic"
219posAdd 0
220o 7
221suid 17,0
222i "'0'"
223)
224)
225uid 423,0
226)
227*25 (LogPort
228port (LogicalPort
229decl (Decl
230n "roi_max"
231t "roi_max_type"
232o 19
233suid 18,0
234)
235)
236uid 425,0
237)
238*26 (LogPort
239port (LogicalPort
240decl (Decl
241n "roi_array"
242t "roi_array_type"
243o 18
244suid 19,0
245)
246)
247uid 478,0
248)
249*27 (LogPort
250port (LogicalPort
251decl (Decl
252n "package_length"
253t "std_logic_vector"
254b "(15 downto 0)"
255o 23
256suid 20,0
257)
258)
259uid 531,0
260)
261*28 (LogPort
262port (LogicalPort
263m 1
264decl (Decl
265n "adc_oeb"
266t "std_logic"
267o 31
268suid 23,0
269i "'1'"
270)
271)
272uid 649,0
273)
274*29 (LogPort
275port (LogicalPort
276m 1
277decl (Decl
278n "drs_channel_id"
279t "std_logic_vector"
280b "(3 downto 0)"
281posAdd 0
282o 34
283suid 25,0
284i "(others => '0')"
285)
286)
287uid 701,0
288)
289*30 (LogPort
290port (LogicalPort
291m 1
292decl (Decl
293n "drs_clk_en"
294t "std_logic"
295prec "-- --"
296preAdd 0
297posAdd 0
298o 37
299suid 26,0
300i "'0'"
301)
302)
303uid 703,0
304)
305*31 (LogPort
306port (LogicalPort
307m 1
308decl (Decl
309n "drs_read_s_cell"
310t "std_logic"
311prec "-- --"
312preAdd 0
313o 38
314suid 33,0
315i "'0'"
316)
317)
318uid 816,0
319)
320*32 (LogPort
321port (LogicalPort
322decl (Decl
323n "drs_read_s_cell_ready"
324t "std_logic"
325o 43
326suid 34,0
327)
328)
329uid 818,0
330)
331*33 (LogPort
332port (LogicalPort
333decl (Decl
334n "drs_s_cell_array"
335t "drs_s_cell_array_type"
336o 44
337suid 35,0
338)
339)
340uid 820,0
341)
342*34 (LogPort
343port (LogicalPort
344decl (Decl
345n "adc_data_array"
346t "adc_data_array_type"
347o 30
348suid 37,0
349)
350)
351uid 903,0
352)
353*35 (LogPort
354port (LogicalPort
355decl (Decl
356n "config_ready_cm"
357t "std_logic"
358o 13
359suid 39,0
360)
361)
362uid 968,0
363)
364*36 (LogPort
365port (LogicalPort
366m 1
367decl (Decl
368n "config_start_cm"
369t "std_logic"
370prec "-- --"
371preAdd 0
372posAdd 0
373o 10
374suid 40,0
375i "'0'"
376)
377)
378uid 970,0
379)
380*37 (LogPort
381port (LogicalPort
382decl (Decl
383n "config_ready_mm"
384t "std_logic"
385o 12
386suid 42,0
387)
388)
389uid 1058,0
390)
391*38 (LogPort
392port (LogicalPort
393decl (Decl
394n "config_ready_spi"
395t "std_logic"
396o 14
397suid 43,0
398)
399)
400uid 1060,0
401)
402*39 (LogPort
403port (LogicalPort
404decl (Decl
405n "sensor_array"
406t "sensor_array_type"
407o 20
408suid 44,0
409)
410)
411uid 1095,0
412)
413*40 (LogPort
414port (LogicalPort
415decl (Decl
416n "sensor_ready"
417t "std_logic"
418o 21
419suid 45,0
420)
421)
422uid 1097,0
423)
424*41 (LogPort
425port (LogicalPort
426m 1
427decl (Decl
428n "config_start_mm"
429t "std_logic"
430prec "-- --"
431preAdd 0
432posAdd 0
433o 9
434suid 46,0
435i "'0'"
436)
437)
438uid 1132,0
439)
440*42 (LogPort
441port (LogicalPort
442m 1
443decl (Decl
444n "config_start_spi"
445t "std_logic"
446prec "-- --"
447preAdd 0
448o 11
449suid 47,0
450i "'0'"
451)
452)
453uid 1134,0
454)
455*43 (LogPort
456port (LogicalPort
457m 1
458decl (Decl
459n "config_started"
460t "std_logic"
461o 29
462suid 48,0
463i "'0'"
464)
465)
466uid 1169,0
467)
468*44 (LogPort
469port (LogicalPort
470decl (Decl
471n "new_config"
472t "std_logic"
473prec "-- s_trigger : in std_logic;"
474preAdd 0
475o 28
476suid 49,0
477)
478)
479uid 1171,0
480)
481*45 (LogPort
482port (LogicalPort
483decl (Decl
484n "config_started_cm"
485t "std_logic"
486o 16
487suid 50,0
488)
489)
490uid 1211,0
491)
492*46 (LogPort
493port (LogicalPort
494decl (Decl
495n "config_started_mm"
496t "std_logic"
497o 15
498suid 51,0
499)
500)
501uid 1213,0
502)
503*47 (LogPort
504port (LogicalPort
505decl (Decl
506n "config_started_spi"
507t "std_logic"
508o 17
509suid 52,0
510)
511)
512uid 1215,0
513)
514*48 (LogPort
515port (LogicalPort
516decl (Decl
517n "dac_array"
518t "dac_array_type"
519o 22
520suid 53,0
521)
522)
523uid 1245,0
524)
525*49 (LogPort
526port (LogicalPort
527m 1
528decl (Decl
529n "adc_clk_en"
530t "std_logic"
531o 32
532suid 54,0
533i "'0'"
534)
535)
536uid 1400,0
537)
538*50 (LogPort
539port (LogicalPort
540decl (Decl
541n "adc_otr"
542t "std_logic_vector"
543b "(3 downto 0)"
544o 33
545suid 55,0
546)
547)
548uid 1432,0
549)
550*51 (LogPort
551port (LogicalPort
552m 1
553decl (Decl
554n "drs_srin_data"
555t "std_logic_vector"
556b "(7 downto 0)"
557o 41
558suid 56,0
559i "(others => '0')"
560)
561)
562uid 1484,0
563)
564*52 (LogPort
565port (LogicalPort
566m 1
567decl (Decl
568n "drs_srin_write_8b"
569t "std_logic"
570o 39
571suid 57,0
572i "'0'"
573)
574)
575uid 1486,0
576)
577*53 (LogPort
578port (LogicalPort
579decl (Decl
580n "drs_srin_write_ack"
581t "std_logic"
582o 40
583suid 58,0
584)
585)
586uid 1488,0
587)
588*54 (LogPort
589port (LogicalPort
590decl (Decl
591n "drs_srin_write_ready"
592t "std_logic"
593o 42
594suid 59,0
595)
596)
597uid 1490,0
598)
599*55 (LogPort
600port (LogicalPort
601decl (Decl
602n "ram_write_ready_ack"
603t "std_logic"
604prec "-- --"
605preAdd 0
606posAdd 0
607o 8
608suid 60,0
609)
610)
611uid 1492,0
612)
613*56 (LogPort
614port (LogicalPort
615m 1
616decl (Decl
617n "drs_readout_started"
618t "std_logic"
619o 45
620suid 61,0
621i "'0'"
622)
623)
624uid 1524,0
625)
626*57 (LogPort
627port (LogicalPort
628m 1
629decl (Decl
630n "drs_readout_ready"
631t "std_logic"
632prec "-- --
633-- drs_dwrite : out std_logic := '1';"
634preAdd 0
635posAdd 0
636o 35
637suid 62,0
638i "'0'"
639)
640)
641uid 1556,0
642)
643*58 (LogPort
644port (LogicalPort
645decl (Decl
646n "drs_readout_ready_ack"
647t "std_logic"
648o 36
649suid 63,0
650)
651)
652uid 1588,0
653)
654]
655)
656pdm (PhysicalDM
657displayShortBounds 1
658editShortBounds 1
659uid 149,0
660optionalChildren [
661*59 (Sheet
662sheetRow (SheetRow
663headerVa (MVa
664cellColor "49152,49152,49152"
665fontColor "0,0,0"
666font "Tahoma,10,0"
667)
668cellVa (MVa
669cellColor "65535,65535,65535"
670fontColor "0,0,0"
671font "Tahoma,10,0"
672)
673groupVa (MVa
674cellColor "39936,56832,65280"
675fontColor "0,0,0"
676font "Tahoma,10,0"
677)
678emptyMRCItem *60 (MRCItem
679litem &1
680pos 3
681dimension 20
682)
683uid 151,0
684optionalChildren [
685*61 (MRCItem
686litem &2
687pos 0
688dimension 20
689uid 152,0
690)
691*62 (MRCItem
692litem &3
693pos 1
694dimension 23
695uid 153,0
696)
697*63 (MRCItem
698litem &4
699pos 2
700hidden 1
701dimension 20
702uid 154,0
703)
704*64 (MRCItem
705litem &14
706pos 0
707dimension 20
708uid 110,0
709)
710*65 (MRCItem
711litem &15
712pos 1
713dimension 20
714uid 112,0
715)
716*66 (MRCItem
717litem &16
718pos 2
719dimension 20
720uid 114,0
721)
722*67 (MRCItem
723litem &17
724pos 3
725dimension 20
726uid 120,0
727)
728*68 (MRCItem
729litem &18
730pos 4
731dimension 20
732uid 122,0
733)
734*69 (MRCItem
735litem &19
736pos 5
737dimension 20
738uid 126,0
739)
740*70 (MRCItem
741litem &20
742pos 6
743dimension 20
744uid 128,0
745)
746*71 (MRCItem
747litem &21
748pos 7
749dimension 20
750uid 130,0
751)
752*72 (MRCItem
753litem &22
754pos 8
755dimension 20
756uid 291,0
757)
758*73 (MRCItem
759litem &23
760pos 9
761dimension 20
762uid 422,0
763)
764*74 (MRCItem
765litem &24
766pos 10
767dimension 20
768uid 424,0
769)
770*75 (MRCItem
771litem &25
772pos 11
773dimension 20
774uid 426,0
775)
776*76 (MRCItem
777litem &26
778pos 12
779dimension 20
780uid 479,0
781)
782*77 (MRCItem
783litem &27
784pos 13
785dimension 20
786uid 532,0
787)
788*78 (MRCItem
789litem &28
790pos 14
791dimension 20
792uid 650,0
793)
794*79 (MRCItem
795litem &29
796pos 15
797dimension 20
798uid 702,0
799)
800*80 (MRCItem
801litem &30
802pos 16
803dimension 20
804uid 704,0
805)
806*81 (MRCItem
807litem &31
808pos 17
809dimension 20
810uid 817,0
811)
812*82 (MRCItem
813litem &32
814pos 18
815dimension 20
816uid 819,0
817)
818*83 (MRCItem
819litem &33
820pos 19
821dimension 20
822uid 821,0
823)
824*84 (MRCItem
825litem &34
826pos 20
827dimension 20
828uid 904,0
829)
830*85 (MRCItem
831litem &35
832pos 21
833dimension 20
834uid 969,0
835)
836*86 (MRCItem
837litem &36
838pos 22
839dimension 20
840uid 971,0
841)
842*87 (MRCItem
843litem &37
844pos 23
845dimension 20
846uid 1059,0
847)
848*88 (MRCItem
849litem &38
850pos 24
851dimension 20
852uid 1061,0
853)
854*89 (MRCItem
855litem &39
856pos 25
857dimension 20
858uid 1096,0
859)
860*90 (MRCItem
861litem &40
862pos 26
863dimension 20
864uid 1098,0
865)
866*91 (MRCItem
867litem &41
868pos 27
869dimension 20
870uid 1133,0
871)
872*92 (MRCItem
873litem &42
874pos 28
875dimension 20
876uid 1135,0
877)
878*93 (MRCItem
879litem &43
880pos 29
881dimension 20
882uid 1170,0
883)
884*94 (MRCItem
885litem &44
886pos 30
887dimension 20
888uid 1172,0
889)
890*95 (MRCItem
891litem &45
892pos 31
893dimension 20
894uid 1212,0
895)
896*96 (MRCItem
897litem &46
898pos 32
899dimension 20
900uid 1214,0
901)
902*97 (MRCItem
903litem &47
904pos 33
905dimension 20
906uid 1216,0
907)
908*98 (MRCItem
909litem &48
910pos 34
911dimension 20
912uid 1246,0
913)
914*99 (MRCItem
915litem &49
916pos 35
917dimension 20
918uid 1401,0
919)
920*100 (MRCItem
921litem &50
922pos 36
923dimension 20
924uid 1433,0
925)
926*101 (MRCItem
927litem &51
928pos 37
929dimension 20
930uid 1485,0
931)
932*102 (MRCItem
933litem &52
934pos 38
935dimension 20
936uid 1487,0
937)
938*103 (MRCItem
939litem &53
940pos 39
941dimension 20
942uid 1489,0
943)
944*104 (MRCItem
945litem &54
946pos 40
947dimension 20
948uid 1491,0
949)
950*105 (MRCItem
951litem &55
952pos 41
953dimension 20
954uid 1493,0
955)
956*106 (MRCItem
957litem &56
958pos 42
959dimension 20
960uid 1525,0
961)
962*107 (MRCItem
963litem &57
964pos 43
965dimension 20
966uid 1557,0
967)
968*108 (MRCItem
969litem &58
970pos 44
971dimension 20
972uid 1589,0
973)
974]
975)
976sheetCol (SheetCol
977propVa (MVa
978cellColor "0,49152,49152"
979fontColor "0,0,0"
980font "Tahoma,10,0"
981textAngle 90
982)
983uid 155,0
984optionalChildren [
985*109 (MRCItem
986litem &5
987pos 0
988dimension 20
989uid 156,0
990)
991*110 (MRCItem
992litem &7
993pos 1
994dimension 50
995uid 157,0
996)
997*111 (MRCItem
998litem &8
999pos 2
1000dimension 100
1001uid 158,0
1002)
1003*112 (MRCItem
1004litem &9
1005pos 3
1006dimension 50
1007uid 159,0
1008)
1009*113 (MRCItem
1010litem &10
1011pos 4
1012dimension 100
1013uid 160,0
1014)
1015*114 (MRCItem
1016litem &11
1017pos 5
1018dimension 100
1019uid 161,0
1020)
1021*115 (MRCItem
1022litem &12
1023pos 6
1024dimension 50
1025uid 162,0
1026)
1027*116 (MRCItem
1028litem &13
1029pos 7
1030dimension 80
1031uid 163,0
1032)
1033]
1034)
1035fixedCol 4
1036fixedRow 2
1037name "Ports"
1038uid 150,0
1039vaOverrides [
1040]
1041)
1042]
1043)
1044uid 135,0
1045)
1046genericsCommonDM (CommonDM
1047ldm (LogicalDM
1048emptyRow *117 (LEmptyRow
1049)
1050uid 165,0
1051optionalChildren [
1052*118 (RefLabelRowHdr
1053)
1054*119 (TitleRowHdr
1055)
1056*120 (FilterRowHdr
1057)
1058*121 (RefLabelColHdr
1059tm "RefLabelColHdrMgr"
1060)
1061*122 (RowExpandColHdr
1062tm "RowExpandColHdrMgr"
1063)
1064*123 (GroupColHdr
1065tm "GroupColHdrMgr"
1066)
1067*124 (NameColHdr
1068tm "GenericNameColHdrMgr"
1069)
1070*125 (TypeColHdr
1071tm "GenericTypeColHdrMgr"
1072)
1073*126 (InitColHdr
1074tm "GenericValueColHdrMgr"
1075)
1076*127 (PragmaColHdr
1077tm "GenericPragmaColHdrMgr"
1078)
1079*128 (EolColHdr
1080tm "GenericEolColHdrMgr"
1081)
1082*129 (LogGeneric
1083generic (GiElement
1084name "RAM_ADDR_WIDTH"
1085type "integer"
1086value "12"
1087)
1088uid 1590,0
1089)
1090]
1091)
1092pdm (PhysicalDM
1093displayShortBounds 1
1094editShortBounds 1
1095uid 177,0
1096optionalChildren [
1097*130 (Sheet
1098sheetRow (SheetRow
1099headerVa (MVa
1100cellColor "49152,49152,49152"
1101fontColor "0,0,0"
1102font "Tahoma,10,0"
1103)
1104cellVa (MVa
1105cellColor "65535,65535,65535"
1106fontColor "0,0,0"
1107font "Tahoma,10,0"
1108)
1109groupVa (MVa
1110cellColor "39936,56832,65280"
1111fontColor "0,0,0"
1112font "Tahoma,10,0"
1113)
1114emptyMRCItem *131 (MRCItem
1115litem &117
1116pos 3
1117dimension 20
1118)
1119uid 179,0
1120optionalChildren [
1121*132 (MRCItem
1122litem &118
1123pos 0
1124dimension 20
1125uid 180,0
1126)
1127*133 (MRCItem
1128litem &119
1129pos 1
1130dimension 23
1131uid 181,0
1132)
1133*134 (MRCItem
1134litem &120
1135pos 2
1136hidden 1
1137dimension 20
1138uid 182,0
1139)
1140*135 (MRCItem
1141litem &129
1142pos 0
1143dimension 20
1144uid 1591,0
1145)
1146]
1147)
1148sheetCol (SheetCol
1149propVa (MVa
1150cellColor "0,49152,49152"
1151fontColor "0,0,0"
1152font "Tahoma,10,0"
1153textAngle 90
1154)
1155uid 183,0
1156optionalChildren [
1157*136 (MRCItem
1158litem &121
1159pos 0
1160dimension 20
1161uid 184,0
1162)
1163*137 (MRCItem
1164litem &123
1165pos 1
1166dimension 50
1167uid 185,0
1168)
1169*138 (MRCItem
1170litem &124
1171pos 2
1172dimension 100
1173uid 186,0
1174)
1175*139 (MRCItem
1176litem &125
1177pos 3
1178dimension 100
1179uid 187,0
1180)
1181*140 (MRCItem
1182litem &126
1183pos 4
1184dimension 50
1185uid 188,0
1186)
1187*141 (MRCItem
1188litem &127
1189pos 5
1190dimension 50
1191uid 189,0
1192)
1193*142 (MRCItem
1194litem &128
1195pos 6
1196dimension 80
1197uid 190,0
1198)
1199]
1200)
1201fixedCol 3
1202fixedRow 2
1203name "Ports"
1204uid 178,0
1205vaOverrides [
1206]
1207)
1208]
1209)
1210uid 164,0
1211type 1
1212)
1213VExpander (VariableExpander
1214vvMap [
1215(vvPair
1216variable "HDLDir"
1217value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hdl"
1218)
1219(vvPair
1220variable "HDSDir"
1221value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
1222)
1223(vvPair
1224variable "SideDataDesignDir"
1225value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb.info"
1226)
1227(vvPair
1228variable "SideDataUserDir"
1229value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb.user"
1230)
1231(vvPair
1232variable "SourceDir"
1233value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds"
1234)
1235(vvPair
1236variable "appl"
1237value "HDL Designer"
1238)
1239(vvPair
1240variable "arch_name"
1241value "symbol"
1242)
1243(vvPair
1244variable "config"
1245value "%(unit)_%(view)_config"
1246)
1247(vvPair
1248variable "d"
1249value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator"
1250)
1251(vvPair
1252variable "d_logical"
1253value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator"
1254)
1255(vvPair
1256variable "date"
1257value "14.01.2011"
1258)
1259(vvPair
1260variable "day"
1261value "Fr"
1262)
1263(vvPair
1264variable "day_long"
1265value "Freitag"
1266)
1267(vvPair
1268variable "dd"
1269value "14"
1270)
1271(vvPair
1272variable "entity_name"
1273value "data_generator"
1274)
1275(vvPair
1276variable "ext"
1277value "<TBD>"
1278)
1279(vvPair
1280variable "f"
1281value "symbol.sb"
1282)
1283(vvPair
1284variable "f_logical"
1285value "symbol.sb"
1286)
1287(vvPair
1288variable "f_noext"
1289value "symbol"
1290)
1291(vvPair
1292variable "group"
1293value "UNKNOWN"
1294)
1295(vvPair
1296variable "host"
1297value "IHP110"
1298)
1299(vvPair
1300variable "language"
1301value "VHDL"
1302)
1303(vvPair
1304variable "library"
1305value "FACT_FAD_lib"
1306)
1307(vvPair
1308variable "library_downstream_HdsLintPlugin"
1309value "$HDS_PROJECT_DIR/FACT_FAD_lib/designcheck"
1310)
1311(vvPair
1312variable "library_downstream_ISEPARInvoke"
1313value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1314)
1315(vvPair
1316variable "library_downstream_ImpactInvoke"
1317value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1318)
1319(vvPair
1320variable "library_downstream_ModelSimCompiler"
1321value "$HDS_PROJECT_DIR/FACT_FAD_lib/work"
1322)
1323(vvPair
1324variable "library_downstream_PrecisionSynthesisDataPrep"
1325value "$HDS_PROJECT_DIR/FACT_FAD_lib/ps"
1326)
1327(vvPair
1328variable "library_downstream_XSTDataPrep"
1329value "$HDS_PROJECT_DIR/FACT_FAD_lib/ise"
1330)
1331(vvPair
1332variable "mm"
1333value "01"
1334)
1335(vvPair
1336variable "module_name"
1337value "data_generator"
1338)
1339(vvPair
1340variable "month"
1341value "Jan"
1342)
1343(vvPair
1344variable "month_long"
1345value "Januar"
1346)
1347(vvPair
1348variable "p"
1349value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb"
1350)
1351(vvPair
1352variable "p_logical"
1353value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_lib\\hds\\data_generator\\symbol.sb"
1354)
1355(vvPair
1356variable "package_name"
1357value "<Undefined Variable>"
1358)
1359(vvPair
1360variable "project_name"
1361value "FACT_FAD"
1362)
1363(vvPair
1364variable "series"
1365value "HDL Designer Series"
1366)
1367(vvPair
1368variable "task_DesignCompilerPath"
1369value "<TBD>"
1370)
1371(vvPair
1372variable "task_LeonardoPath"
1373value "<TBD>"
1374)
1375(vvPair
1376variable "task_ModelSimPath"
1377value "D:\\modeltech_6.5e\\win32"
1378)
1379(vvPair
1380variable "task_NC-SimPath"
1381value "<TBD>"
1382)
1383(vvPair
1384variable "task_PrecisionRTLPath"
1385value "<TBD>"
1386)
1387(vvPair
1388variable "task_QuestaSimPath"
1389value "<TBD>"
1390)
1391(vvPair
1392variable "task_VCSPath"
1393value "<TBD>"
1394)
1395(vvPair
1396variable "this_ext"
1397value "sb"
1398)
1399(vvPair
1400variable "this_file"
1401value "symbol"
1402)
1403(vvPair
1404variable "this_file_logical"
1405value "symbol"
1406)
1407(vvPair
1408variable "time"
1409value "11:17:29"
1410)
1411(vvPair
1412variable "unit"
1413value "data_generator"
1414)
1415(vvPair
1416variable "user"
1417value "daqct3"
1418)
1419(vvPair
1420variable "version"
1421value "2009.1 (Build 12)"
1422)
1423(vvPair
1424variable "view"
1425value "symbol"
1426)
1427(vvPair
1428variable "year"
1429value "2011"
1430)
1431(vvPair
1432variable "yy"
1433value "11"
1434)
1435]
1436)
1437LanguageMgr "VhdlLangMgr"
1438uid 134,0
1439optionalChildren [
1440*143 (SymbolBody
1441uid 8,0
1442optionalChildren [
1443*144 (CptPort
1444uid 48,0
1445ps "OnEdgeStrategy"
1446shape (Triangle
1447uid 49,0
1448ro 90
1449va (VaSet
1450vasetType 1
1451fg "0,65535,0"
1452)
1453xt "36250,1625,37000,2375"
1454)
1455tg (CPTG
1456uid 50,0
1457ps "CptPortTextPlaceStrategy"
1458stg "VerticalLayoutStrategy"
1459f (Text
1460uid 51,0
1461va (VaSet
1462)
1463xt "38000,1500,39300,2500"
1464st "clk"
1465blo "38000,2300"
1466tm "CptPortNameMgr"
1467)
1468)
1469dt (MLText
1470uid 52,0
1471va (VaSet
1472font "Courier New,8,0"
1473)
1474xt "2000,12000,44500,13600"
1475st "-- led : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');
1476clk : IN std_logic ;
1477"
1478)
1479thePort (LogicalPort
1480decl (Decl
1481n "clk"
1482t "std_logic"
1483prec "-- led : OUT std_logic_vector (7 DOWNTO 0) := (OTHERS => '0');"
1484preAdd 0
1485posAdd 0
1486o 1
1487suid 1,0
1488)
1489)
1490)
1491*145 (CptPort
1492uid 53,0
1493ps "OnEdgeStrategy"
1494shape (Triangle
1495uid 54,0
1496ro 90
1497va (VaSet
1498vasetType 1
1499fg "0,65535,0"
1500)
1501xt "67000,3625,67750,4375"
1502)
1503tg (CPTG
1504uid 55,0
1505ps "CptPortTextPlaceStrategy"
1506stg "RightVerticalLayoutStrategy"
1507f (Text
1508uid 56,0
1509va (VaSet
1510)
1511xt "59800,3500,66000,4500"
1512st "data_out : (63:0)"
1513ju 2
1514blo "66000,4300"
1515tm "CptPortNameMgr"
1516)
1517)
1518dt (MLText
1519uid 57,0
1520va (VaSet
1521font "Courier New,8,0"
1522)
1523xt "2000,13600,35500,14400"
1524st "data_out : OUT std_logic_vector (63 downto 0) ;
1525"
1526)
1527thePort (LogicalPort
1528m 1
1529decl (Decl
1530n "data_out"
1531t "std_logic_vector"
1532b "(63 downto 0)"
1533preAdd 0
1534posAdd 0
1535o 2
1536suid 2,0
1537)
1538)
1539)
1540*146 (CptPort
1541uid 58,0
1542ps "OnEdgeStrategy"
1543shape (Triangle
1544uid 59,0
1545ro 90
1546va (VaSet
1547vasetType 1
1548fg "0,65535,0"
1549)
1550xt "67000,2625,67750,3375"
1551)
1552tg (CPTG
1553uid 60,0
1554ps "CptPortTextPlaceStrategy"
1555stg "RightVerticalLayoutStrategy"
1556f (Text
1557uid 61,0
1558va (VaSet
1559)
1560xt "51900,2500,66000,3500"
1561st "addr_out : (RAM_ADDR_WIDTH-1:0)"
1562ju 2
1563blo "66000,3300"
1564tm "CptPortNameMgr"
1565)
1566)
1567dt (MLText
1568uid 62,0
1569va (VaSet
1570font "Courier New,8,0"
1571)
1572xt "2000,14400,42500,15200"
1573st "addr_out : OUT std_logic_vector (RAM_ADDR_WIDTH-1 downto 0) ;
1574"
1575)
1576thePort (LogicalPort
1577m 1
1578decl (Decl
1579n "addr_out"
1580t "std_logic_vector"
1581b "(RAM_ADDR_WIDTH-1 downto 0)"
1582preAdd 0
1583posAdd 0
1584o 3
1585suid 3,0
1586)
1587)
1588)
1589*147 (CptPort
1590uid 73,0
1591ps "OnEdgeStrategy"
1592shape (Triangle
1593uid 74,0
1594ro 90
1595va (VaSet
1596vasetType 1
1597fg "0,65535,0"
1598)
1599xt "67000,1625,67750,2375"
1600)
1601tg (CPTG
1602uid 75,0
1603ps "CptPortTextPlaceStrategy"
1604stg "RightVerticalLayoutStrategy"
1605f (Text
1606uid 76,0
1607va (VaSet
1608)
1609xt "60200,1500,66000,2500"
1610st "write_ea : (0:0)"
1611ju 2
1612blo "66000,2300"
1613tm "CptPortNameMgr"
1614)
1615)
1616dt (MLText
1617uid 77,0
1618va (VaSet
1619font "Courier New,8,0"
1620)
1621xt "2000,15200,38500,16000"
1622st "write_ea : OUT std_logic_vector (0 downto 0) := \"0\" ;
1623"
1624)
1625thePort (LogicalPort
1626m 1
1627decl (Decl
1628n "write_ea"
1629t "std_logic_vector"
1630b "(0 downto 0)"
1631preAdd 0
1632posAdd 0
1633o 4
1634suid 6,0
1635i "\"0\""
1636)
1637)
1638)
1639*148 (CptPort
1640uid 78,0
1641ps "OnEdgeStrategy"
1642shape (Triangle
1643uid 391,0
1644ro 270
1645va (VaSet
1646vasetType 1
1647fg "0,65535,0"
1648)
1649xt "67000,18625,67750,19375"
1650)
1651tg (CPTG
1652uid 80,0
1653ps "CptPortTextPlaceStrategy"
1654stg "RightVerticalLayoutStrategy"
1655f (Text
1656uid 81,0
1657va (VaSet
1658)
1659xt "49700,18500,66000,19500"
1660st "ram_start_addr : (RAM_ADDR_WIDTH-1:0)"
1661ju 2
1662blo "66000,19300"
1663tm "CptPortNameMgr"
1664)
1665)
1666dt (MLText
1667uid 82,0
1668va (VaSet
1669font "Courier New,8,0"
1670)
1671xt "2000,16000,42500,16800"
1672st "ram_start_addr : IN std_logic_vector (RAM_ADDR_WIDTH-1 downto 0) ;
1673"
1674)
1675thePort (LogicalPort
1676decl (Decl
1677n "ram_start_addr"
1678t "std_logic_vector"
1679b "(RAM_ADDR_WIDTH-1 downto 0)"
1680preAdd 0
1681posAdd 0
1682o 5
1683suid 7,0
1684)
1685)
1686)
1687*149 (CptPort
1688uid 88,0
1689ps "OnEdgeStrategy"
1690shape (Triangle
1691uid 89,0
1692ro 90
1693va (VaSet
1694vasetType 1
1695fg "0,65535,0"
1696)
1697xt "36250,10625,37000,11375"
1698)
1699tg (CPTG
1700uid 90,0
1701ps "CptPortTextPlaceStrategy"
1702stg "VerticalLayoutStrategy"
1703f (Text
1704uid 91,0
1705va (VaSet
1706)
1707xt "38000,10500,43900,11500"
1708st "board_id : (3:0)"
1709blo "38000,11300"
1710tm "CptPortNameMgr"
1711)
1712)
1713dt (MLText
1714uid 92,0
1715va (VaSet
1716font "Courier New,8,0"
1717)
1718xt "2000,34400,35000,35200"
1719st "board_id : IN std_logic_vector (3 downto 0) ;
1720"
1721)
1722thePort (LogicalPort
1723decl (Decl
1724n "board_id"
1725t "std_logic_vector"
1726b "(3 downto 0)"
1727preAdd 0
1728posAdd 0
1729o 24
1730suid 9,0
1731)
1732)
1733)
1734*150 (CptPort
1735uid 93,0
1736ps "OnEdgeStrategy"
1737shape (Triangle
1738uid 94,0
1739ro 90
1740va (VaSet
1741vasetType 1
1742fg "0,65535,0"
1743)
1744xt "36250,11625,37000,12375"
1745)
1746tg (CPTG
1747uid 95,0
1748ps "CptPortTextPlaceStrategy"
1749stg "VerticalLayoutStrategy"
1750f (Text
1751uid 96,0
1752va (VaSet
1753)
1754xt "38000,11500,44800,12500"
1755st "trigger_id : (47:0)"
1756blo "38000,12300"
1757tm "CptPortNameMgr"
1758)
1759)
1760dt (MLText
1761uid 97,0
1762va (VaSet
1763font "Courier New,8,0"
1764)
1765xt "2000,36000,35500,36800"
1766st "trigger_id : IN std_logic_vector (47 downto 0) ;
1767"
1768)
1769thePort (LogicalPort
1770decl (Decl
1771n "trigger_id"
1772t "std_logic_vector"
1773b "(47 downto 0)"
1774preAdd 0
1775posAdd 0
1776o 26
1777suid 10,0
1778)
1779)
1780)
1781*151 (CptPort
1782uid 98,0
1783ps "OnEdgeStrategy"
1784shape (Triangle
1785uid 99,0
1786ro 90
1787va (VaSet
1788vasetType 1
1789fg "0,65535,0"
1790)
1791xt "36250,6625,37000,7375"
1792)
1793tg (CPTG
1794uid 100,0
1795ps "CptPortTextPlaceStrategy"
1796stg "VerticalLayoutStrategy"
1797f (Text
1798uid 101,0
1799va (VaSet
1800)
1801xt "38000,6500,40800,7500"
1802st "trigger"
1803blo "38000,7300"
1804tm "CptPortNameMgr"
1805)
1806)
1807dt (MLText
1808uid 102,0
1809va (VaSet
1810font "Courier New,8,0"
1811)
1812xt "2000,36800,25500,37600"
1813st "trigger : IN std_logic ;
1814"
1815)
1816thePort (LogicalPort
1817decl (Decl
1818n "trigger"
1819t "std_logic"
1820preAdd 0
1821posAdd 0
1822o 27
1823suid 11,0
1824)
1825)
1826)
1827*152 (CommentText
1828uid 106,0
1829ps "EdgeToEdgeStrategy"
1830shape (Rectangle
1831uid 107,0
1832layer 0
1833va (VaSet
1834vasetType 1
1835fg "65280,65280,46080"
1836lineColor "0,0,32768"
1837)
1838xt "37000,2000,52000,6400"
1839)
1840oxt "37000,2000,52000,6000"
1841text (MLText
1842uid 108,0
1843va (VaSet
1844fg "0,0,32768"
1845)
1846xt "37200,2200,51100,6200"
1847st "
1848-- -- Uncomment the following library declaration if instantiating
1849-- -- any Xilinx primitives in this code.
1850-- library UNISIM;
1851-- use UNISIM.VComponents.all;
1852"
1853tm "CommentText"
1854wrapOption 3
1855visibleHeight 4400
1856visibleWidth 15000
1857)
1858included 1
1859excludeCommentLeader 1
1860)
1861*153 (CptPort
1862uid 285,0
1863ps "OnEdgeStrategy"
1864shape (Triangle
1865uid 286,0
1866ro 90
1867va (VaSet
1868vasetType 1
1869fg "0,65535,0"
1870)
1871xt "36250,12625,37000,13375"
1872)
1873tg (CPTG
1874uid 287,0
1875ps "CptPortTextPlaceStrategy"
1876stg "VerticalLayoutStrategy"
1877f (Text
1878uid 288,0
1879va (VaSet
1880)
1881xt "38000,12500,43700,13500"
1882st "crate_id : (1:0)"
1883blo "38000,13300"
1884tm "CptPortNameMgr"
1885)
1886)
1887dt (MLText
1888uid 289,0
1889va (VaSet
1890font "Courier New,8,0"
1891)
1892xt "2000,35200,35000,36000"
1893st "crate_id : IN std_logic_vector (1 downto 0) ;
1894"
1895)
1896thePort (LogicalPort
1897decl (Decl
1898n "crate_id"
1899t "std_logic_vector"
1900b "(1 downto 0)"
1901o 25
1902suid 12,0
1903)
1904)
1905)
1906*154 (CptPort
1907uid 402,0
1908ps "OnEdgeStrategy"
1909shape (Triangle
1910uid 403,0
1911ro 90
1912va (VaSet
1913vasetType 1
1914fg "0,65535,0"
1915)
1916xt "36250,14625,37000,15375"
1917)
1918tg (CPTG
1919uid 404,0
1920ps "CptPortTextPlaceStrategy"
1921stg "VerticalLayoutStrategy"
1922f (Text
1923uid 405,0
1924va (VaSet
1925)
1926xt "38000,14500,43300,15500"
1927st "ram_write_ea"
1928blo "38000,15300"
1929tm "CptPortNameMgr"
1930)
1931)
1932dt (MLText
1933uid 406,0
1934va (VaSet
1935font "Courier New,8,0"
1936)
1937xt "2000,16800,25500,17600"
1938st "ram_write_ea : IN std_logic ;
1939"
1940)
1941thePort (LogicalPort
1942decl (Decl
1943n "ram_write_ea"
1944t "std_logic"
1945o 6
1946suid 16,0
1947)
1948)
1949)
1950*155 (CptPort
1951uid 407,0
1952ps "OnEdgeStrategy"
1953shape (Triangle
1954uid 408,0
1955ro 90
1956va (VaSet
1957vasetType 1
1958fg "0,65535,0"
1959)
1960xt "67000,20625,67750,21375"
1961)
1962tg (CPTG
1963uid 409,0
1964ps "CptPortTextPlaceStrategy"
1965stg "RightVerticalLayoutStrategy"
1966f (Text
1967uid 410,0
1968va (VaSet
1969)
1970xt "59700,20500,66000,21500"
1971st "ram_write_ready"
1972ju 2
1973blo "66000,21300"
1974tm "CptPortNameMgr"
1975)
1976)
1977dt (MLText
1978uid 411,0
1979va (VaSet
1980font "Courier New,8,0"
1981)
1982xt "2000,17600,38500,18400"
1983st "ram_write_ready : OUT std_logic := '0' ;
1984"
1985)
1986thePort (LogicalPort
1987m 1
1988decl (Decl
1989n "ram_write_ready"
1990t "std_logic"
1991posAdd 0
1992o 7
1993suid 17,0
1994i "'0'"
1995)
1996)
1997)
1998*156 (CptPort
1999uid 412,0
2000ps "OnEdgeStrategy"
2001shape (Triangle
2002uid 413,0
2003ro 90
2004va (VaSet
2005vasetType 1
2006fg "0,65535,0"
2007)
2008xt "36250,15625,37000,16375"
2009)
2010tg (CPTG
2011uid 414,0
2012ps "CptPortTextPlaceStrategy"
2013stg "VerticalLayoutStrategy"
2014f (Text
2015uid 415,0
2016va (VaSet
2017)
2018xt "38000,15500,41000,16500"
2019st "roi_max"
2020blo "38000,16300"
2021tm "CptPortNameMgr"
2022)
2023)
2024dt (MLText
2025uid 416,0
2026va (VaSet
2027font "Courier New,8,0"
2028)
2029xt "2000,30400,27000,31200"
2030st "roi_max : IN roi_max_type ;
2031"
2032)
2033thePort (LogicalPort
2034decl (Decl
2035n "roi_max"
2036t "roi_max_type"
2037o 19
2038suid 18,0
2039)
2040)
2041)
2042*157 (CptPort
2043uid 473,0
2044ps "OnEdgeStrategy"
2045shape (Triangle
2046uid 474,0
2047ro 90
2048va (VaSet
2049vasetType 1
2050fg "0,65535,0"
2051)
2052xt "36250,16625,37000,17375"
2053)
2054tg (CPTG
2055uid 475,0
2056ps "CptPortTextPlaceStrategy"
2057stg "VerticalLayoutStrategy"
2058f (Text
2059uid 476,0
2060va (VaSet
2061)
2062xt "38000,16500,41400,17500"
2063st "roi_array"
2064blo "38000,17300"
2065tm "CptPortNameMgr"
2066)
2067)
2068dt (MLText
2069uid 477,0
2070va (VaSet
2071font "Courier New,8,0"
2072)
2073xt "2000,29600,28000,30400"
2074st "roi_array : IN roi_array_type ;
2075"
2076)
2077thePort (LogicalPort
2078decl (Decl
2079n "roi_array"
2080t "roi_array_type"
2081o 18
2082suid 19,0
2083)
2084)
2085)
2086*158 (CptPort
2087uid 526,0
2088ps "OnEdgeStrategy"
2089shape (Triangle
2090uid 527,0
2091ro 90
2092va (VaSet
2093vasetType 1
2094fg "0,65535,0"
2095)
2096xt "36250,17625,37000,18375"
2097)
2098tg (CPTG
2099uid 528,0
2100ps "CptPortTextPlaceStrategy"
2101stg "VerticalLayoutStrategy"
2102f (Text
2103uid 529,0
2104va (VaSet
2105)
2106xt "38000,17500,47100,18500"
2107st "package_length : (15:0)"
2108blo "38000,18300"
2109tm "CptPortNameMgr"
2110)
2111)
2112dt (MLText
2113uid 530,0
2114va (VaSet
2115font "Courier New,8,0"
2116)
2117xt "2000,33600,35500,34400"
2118st "package_length : IN std_logic_vector (15 downto 0) ;
2119"
2120)
2121thePort (LogicalPort
2122decl (Decl
2123n "package_length"
2124t "std_logic_vector"
2125b "(15 downto 0)"
2126o 23
2127suid 20,0
2128)
2129)
2130)
2131*159 (CptPort
2132uid 637,0
2133ps "OnEdgeStrategy"
2134shape (Triangle
2135uid 638,0
2136ro 90
2137va (VaSet
2138vasetType 1
2139fg "0,65535,0"
2140)
2141xt "67000,21625,67750,22375"
2142)
2143tg (CPTG
2144uid 639,0
2145ps "CptPortTextPlaceStrategy"
2146stg "RightVerticalLayoutStrategy"
2147f (Text
2148uid 640,0
2149va (VaSet
2150)
2151xt "62800,21500,66000,22500"
2152st "adc_oeb"
2153ju 2
2154blo "66000,22300"
2155tm "CptPortNameMgr"
2156)
2157)
2158dt (MLText
2159uid 641,0
2160va (VaSet
2161font "Courier New,8,0"
2162)
2163xt "2000,40800,38500,41600"
2164st "adc_oeb : OUT std_logic := '1' ;
2165"
2166)
2167thePort (LogicalPort
2168m 1
2169decl (Decl
2170n "adc_oeb"
2171t "std_logic"
2172o 31
2173suid 23,0
2174i "'1'"
2175)
2176)
2177)
2178*160 (CptPort
2179uid 676,0
2180ps "OnEdgeStrategy"
2181shape (Triangle
2182uid 677,0
2183ro 90
2184va (VaSet
2185vasetType 1
2186fg "0,65535,0"
2187)
2188xt "67000,22625,67750,23375"
2189)
2190tg (CPTG
2191uid 678,0
2192ps "CptPortTextPlaceStrategy"
2193stg "RightVerticalLayoutStrategy"
2194f (Text
2195uid 679,0
2196va (VaSet
2197)
2198xt "57500,22500,66000,23500"
2199st "drs_channel_id : (3:0)"
2200ju 2
2201blo "66000,23300"
2202tm "CptPortNameMgr"
2203)
2204)
2205dt (MLText
2206uid 680,0
2207va (VaSet
2208font "Courier New,8,0"
2209)
2210xt "2000,43200,44500,44000"
2211st "drs_channel_id : OUT std_logic_vector (3 downto 0) := (others => '0') ;
2212"
2213)
2214thePort (LogicalPort
2215m 1
2216decl (Decl
2217n "drs_channel_id"
2218t "std_logic_vector"
2219b "(3 downto 0)"
2220posAdd 0
2221o 34
2222suid 25,0
2223i "(others => '0')"
2224)
2225)
2226)
2227*161 (CptPort
2228uid 681,0
2229ps "OnEdgeStrategy"
2230shape (Triangle
2231uid 682,0
2232ro 90
2233va (VaSet
2234vasetType 1
2235fg "0,65535,0"
2236)
2237xt "67000,23625,67750,24375"
2238)
2239tg (CPTG
2240uid 683,0
2241ps "CptPortTextPlaceStrategy"
2242stg "RightVerticalLayoutStrategy"
2243f (Text
2244uid 684,0
2245va (VaSet
2246)
2247xt "61600,23500,66000,24500"
2248st "drs_clk_en"
2249ju 2
2250blo "66000,24300"
2251tm "CptPortNameMgr"
2252)
2253)
2254dt (MLText
2255uid 685,0
2256va (VaSet
2257font "Courier New,8,0"
2258)
2259xt "2000,47200,38500,48800"
2260st "-- --
2261drs_clk_en : OUT std_logic := '0' ;
2262"
2263)
2264thePort (LogicalPort
2265m 1
2266decl (Decl
2267n "drs_clk_en"
2268t "std_logic"
2269prec "-- --"
2270preAdd 0
2271posAdd 0
2272o 37
2273suid 26,0
2274i "'0'"
2275)
2276)
2277)
2278*162 (CptPort
2279uid 801,0
2280ps "OnEdgeStrategy"
2281shape (Triangle
2282uid 802,0
2283ro 90
2284va (VaSet
2285vasetType 1
2286fg "0,65535,0"
2287)
2288xt "67000,24625,67750,25375"
2289)
2290tg (CPTG
2291uid 803,0
2292ps "CptPortTextPlaceStrategy"
2293stg "RightVerticalLayoutStrategy"
2294f (Text
2295uid 804,0
2296va (VaSet
2297)
2298xt "59800,24500,66000,25500"
2299st "drs_read_s_cell"
2300ju 2
2301blo "66000,25300"
2302tm "CptPortNameMgr"
2303)
2304)
2305dt (MLText
2306uid 805,0
2307va (VaSet
2308font "Courier New,8,0"
2309)
2310xt "2000,48800,38500,50400"
2311st "-- --
2312drs_read_s_cell : OUT std_logic := '0' ;
2313"
2314)
2315thePort (LogicalPort
2316m 1
2317decl (Decl
2318n "drs_read_s_cell"
2319t "std_logic"
2320prec "-- --"
2321preAdd 0
2322o 38
2323suid 33,0
2324i "'0'"
2325)
2326)
2327)
2328*163 (CptPort
2329uid 806,0
2330ps "OnEdgeStrategy"
2331shape (Triangle
2332uid 807,0
2333ro 90
2334va (VaSet
2335vasetType 1
2336fg "0,65535,0"
2337)
2338xt "36250,20625,37000,21375"
2339)
2340tg (CPTG
2341uid 808,0
2342ps "CptPortTextPlaceStrategy"
2343stg "VerticalLayoutStrategy"
2344f (Text
2345uid 809,0
2346va (VaSet
2347)
2348xt "38000,20500,46800,21500"
2349st "drs_read_s_cell_ready"
2350blo "38000,21300"
2351tm "CptPortNameMgr"
2352)
2353)
2354dt (MLText
2355uid 810,0
2356va (VaSet
2357font "Courier New,8,0"
2358)
2359xt "2000,53600,25500,54400"
2360st "drs_read_s_cell_ready : IN std_logic ;
2361"
2362)
2363thePort (LogicalPort
2364decl (Decl
2365n "drs_read_s_cell_ready"
2366t "std_logic"
2367o 43
2368suid 34,0
2369)
2370)
2371)
2372*164 (CptPort
2373uid 811,0
2374ps "OnEdgeStrategy"
2375shape (Triangle
2376uid 812,0
2377ro 90
2378va (VaSet
2379vasetType 1
2380fg "0,65535,0"
2381)
2382xt "36250,21625,37000,22375"
2383)
2384tg (CPTG
2385uid 813,0
2386ps "CptPortTextPlaceStrategy"
2387stg "VerticalLayoutStrategy"
2388f (Text
2389uid 814,0
2390va (VaSet
2391)
2392xt "38000,21500,44400,22500"
2393st "drs_s_cell_array"
2394blo "38000,22300"
2395tm "CptPortNameMgr"
2396)
2397)
2398dt (MLText
2399uid 815,0
2400va (VaSet
2401font "Courier New,8,0"
2402)
2403xt "2000,54400,31500,55200"
2404st "drs_s_cell_array : IN drs_s_cell_array_type ;
2405"
2406)
2407thePort (LogicalPort
2408decl (Decl
2409n "drs_s_cell_array"
2410t "drs_s_cell_array_type"
2411o 44
2412suid 35,0
2413)
2414)
2415)
2416*165 (CptPort
2417uid 898,0
2418ps "OnEdgeStrategy"
2419shape (Triangle
2420uid 899,0
2421ro 90
2422va (VaSet
2423vasetType 1
2424fg "0,65535,0"
2425)
2426xt "36250,22625,37000,23375"
2427)
2428tg (CPTG
2429uid 900,0
2430ps "CptPortTextPlaceStrategy"
2431stg "VerticalLayoutStrategy"
2432f (Text
2433uid 901,0
2434va (VaSet
2435)
2436xt "38000,22500,43900,23500"
2437st "adc_data_array"
2438blo "38000,23300"
2439tm "CptPortNameMgr"
2440)
2441)
2442dt (MLText
2443uid 902,0
2444va (VaSet
2445font "Courier New,8,0"
2446)
2447xt "2000,40000,30500,40800"
2448st "adc_data_array : IN adc_data_array_type ;
2449"
2450)
2451thePort (LogicalPort
2452decl (Decl
2453n "adc_data_array"
2454t "adc_data_array_type"
2455o 30
2456suid 37,0
2457)
2458)
2459)
2460*166 (CptPort
2461uid 958,0
2462ps "OnEdgeStrategy"
2463shape (Triangle
2464uid 959,0
2465ro 90
2466va (VaSet
2467vasetType 1
2468fg "0,65535,0"
2469)
2470xt "36250,23625,37000,24375"
2471)
2472tg (CPTG
2473uid 960,0
2474ps "CptPortTextPlaceStrategy"
2475stg "VerticalLayoutStrategy"
2476f (Text
2477uid 961,0
2478va (VaSet
2479)
2480xt "38000,23500,44500,24500"
2481st "config_ready_cm"
2482blo "38000,24300"
2483tm "CptPortNameMgr"
2484)
2485)
2486dt (MLText
2487uid 962,0
2488va (VaSet
2489font "Courier New,8,0"
2490)
2491xt "2000,25600,25500,26400"
2492st "config_ready_cm : IN std_logic ;
2493"
2494)
2495thePort (LogicalPort
2496decl (Decl
2497n "config_ready_cm"
2498t "std_logic"
2499o 13
2500suid 39,0
2501)
2502)
2503)
2504*167 (CptPort
2505uid 963,0
2506ps "OnEdgeStrategy"
2507shape (Triangle
2508uid 964,0
2509ro 90
2510va (VaSet
2511vasetType 1
2512fg "0,65535,0"
2513)
2514xt "67000,27625,67750,28375"
2515)
2516tg (CPTG
2517uid 965,0
2518ps "CptPortTextPlaceStrategy"
2519stg "RightVerticalLayoutStrategy"
2520f (Text
2521uid 966,0
2522va (VaSet
2523)
2524xt "59800,27500,66000,28500"
2525st "config_start_cm"
2526ju 2
2527blo "66000,28300"
2528tm "CptPortNameMgr"
2529)
2530)
2531dt (MLText
2532uid 967,0
2533va (VaSet
2534font "Courier New,8,0"
2535)
2536xt "2000,21600,38500,23200"
2537st "-- --
2538config_start_cm : OUT std_logic := '0' ;
2539"
2540)
2541thePort (LogicalPort
2542m 1
2543decl (Decl
2544n "config_start_cm"
2545t "std_logic"
2546prec "-- --"
2547preAdd 0
2548posAdd 0
2549o 10
2550suid 40,0
2551i "'0'"
2552)
2553)
2554)
2555*168 (CptPort
2556uid 1048,0
2557ps "OnEdgeStrategy"
2558shape (Triangle
2559uid 1049,0
2560ro 90
2561va (VaSet
2562vasetType 1
2563fg "0,65535,0"
2564)
2565xt "36250,25625,37000,26375"
2566)
2567tg (CPTG
2568uid 1050,0
2569ps "CptPortTextPlaceStrategy"
2570stg "VerticalLayoutStrategy"
2571f (Text
2572uid 1051,0
2573va (VaSet
2574)
2575xt "38000,25500,44700,26500"
2576st "config_ready_mm"
2577blo "38000,26300"
2578tm "CptPortNameMgr"
2579)
2580)
2581dt (MLText
2582uid 1052,0
2583va (VaSet
2584font "Courier New,8,0"
2585)
2586xt "2000,24800,25500,25600"
2587st "config_ready_mm : IN std_logic ;
2588"
2589)
2590thePort (LogicalPort
2591decl (Decl
2592n "config_ready_mm"
2593t "std_logic"
2594o 12
2595suid 42,0
2596)
2597)
2598)
2599*169 (CptPort
2600uid 1053,0
2601ps "OnEdgeStrategy"
2602shape (Triangle
2603uid 1054,0
2604ro 90
2605va (VaSet
2606vasetType 1
2607fg "0,65535,0"
2608)
2609xt "36250,26625,37000,27375"
2610)
2611tg (CPTG
2612uid 1055,0
2613ps "CptPortTextPlaceStrategy"
2614stg "VerticalLayoutStrategy"
2615f (Text
2616uid 1056,0
2617va (VaSet
2618)
2619xt "38000,26500,44500,27500"
2620st "config_ready_spi"
2621blo "38000,27300"
2622tm "CptPortNameMgr"
2623)
2624)
2625dt (MLText
2626uid 1057,0
2627va (VaSet
2628font "Courier New,8,0"
2629)
2630xt "2000,26400,25500,27200"
2631st "config_ready_spi : IN std_logic ;
2632"
2633)
2634thePort (LogicalPort
2635decl (Decl
2636n "config_ready_spi"
2637t "std_logic"
2638o 14
2639suid 43,0
2640)
2641)
2642)
2643*170 (CptPort
2644uid 1085,0
2645ps "OnEdgeStrategy"
2646shape (Triangle
2647uid 1086,0
2648ro 90
2649va (VaSet
2650vasetType 1
2651fg "0,65535,0"
2652)
2653xt "36250,27625,37000,28375"
2654)
2655tg (CPTG
2656uid 1087,0
2657ps "CptPortTextPlaceStrategy"
2658stg "VerticalLayoutStrategy"
2659f (Text
2660uid 1088,0
2661va (VaSet
2662)
2663xt "38000,27500,43200,28500"
2664st "sensor_array"
2665blo "38000,28300"
2666tm "CptPortNameMgr"
2667)
2668)
2669dt (MLText
2670uid 1089,0
2671va (VaSet
2672font "Courier New,8,0"
2673)
2674xt "2000,31200,29500,32000"
2675st "sensor_array : IN sensor_array_type ;
2676"
2677)
2678thePort (LogicalPort
2679decl (Decl
2680n "sensor_array"
2681t "sensor_array_type"
2682o 20
2683suid 44,0
2684)
2685)
2686)
2687*171 (CptPort
2688uid 1090,0
2689ps "OnEdgeStrategy"
2690shape (Triangle
2691uid 1091,0
2692ro 90
2693va (VaSet
2694vasetType 1
2695fg "0,65535,0"
2696)
2697xt "36250,28625,37000,29375"
2698)
2699tg (CPTG
2700uid 1092,0
2701ps "CptPortTextPlaceStrategy"
2702stg "VerticalLayoutStrategy"
2703f (Text
2704uid 1093,0
2705va (VaSet
2706)
2707xt "38000,28500,43300,29500"
2708st "sensor_ready"
2709blo "38000,29300"
2710tm "CptPortNameMgr"
2711)
2712)
2713dt (MLText
2714uid 1094,0
2715va (VaSet
2716font "Courier New,8,0"
2717)
2718xt "2000,32000,25500,32800"
2719st "sensor_ready : IN std_logic ;
2720"
2721)
2722thePort (LogicalPort
2723decl (Decl
2724n "sensor_ready"
2725t "std_logic"
2726o 21
2727suid 45,0
2728)
2729)
2730)
2731*172 (CptPort
2732uid 1122,0
2733ps "OnEdgeStrategy"
2734shape (Triangle
2735uid 1123,0
2736ro 90
2737va (VaSet
2738vasetType 1
2739fg "0,65535,0"
2740)
2741xt "67000,28625,67750,29375"
2742)
2743tg (CPTG
2744uid 1124,0
2745ps "CptPortTextPlaceStrategy"
2746stg "RightVerticalLayoutStrategy"
2747f (Text
2748uid 1125,0
2749va (VaSet
2750)
2751xt "59600,28500,66000,29500"
2752st "config_start_mm"
2753ju 2
2754blo "66000,29300"
2755tm "CptPortNameMgr"
2756)
2757)
2758dt (MLText
2759uid 1126,0
2760va (VaSet
2761font "Courier New,8,0"
2762)
2763xt "2000,20000,38500,21600"
2764st "-- --
2765config_start_mm : OUT std_logic := '0' ;
2766"
2767)
2768thePort (LogicalPort
2769m 1
2770decl (Decl
2771n "config_start_mm"
2772t "std_logic"
2773prec "-- --"
2774preAdd 0
2775posAdd 0
2776o 9
2777suid 46,0
2778i "'0'"
2779)
2780)
2781)
2782*173 (CptPort
2783uid 1127,0
2784ps "OnEdgeStrategy"
2785shape (Triangle
2786uid 1128,0
2787ro 90
2788va (VaSet
2789vasetType 1
2790fg "0,65535,0"
2791)
2792xt "67000,29625,67750,30375"
2793)
2794tg (CPTG
2795uid 1129,0
2796ps "CptPortTextPlaceStrategy"
2797stg "RightVerticalLayoutStrategy"
2798f (Text
2799uid 1130,0
2800va (VaSet
2801)
2802xt "59800,29500,66000,30500"
2803st "config_start_spi"
2804ju 2
2805blo "66000,30300"
2806tm "CptPortNameMgr"
2807)
2808)
2809dt (MLText
2810uid 1131,0
2811va (VaSet
2812font "Courier New,8,0"
2813)
2814xt "2000,23200,38500,24800"
2815st "-- --
2816config_start_spi : OUT std_logic := '0' ;
2817"
2818)
2819thePort (LogicalPort
2820m 1
2821decl (Decl
2822n "config_start_spi"
2823t "std_logic"
2824prec "-- --"
2825preAdd 0
2826o 11
2827suid 47,0
2828i "'0'"
2829)
2830)
2831)
2832*174 (CptPort
2833uid 1159,0
2834ps "OnEdgeStrategy"
2835shape (Triangle
2836uid 1160,0
2837ro 90
2838va (VaSet
2839vasetType 1
2840fg "0,65535,0"
2841)
2842xt "67000,30625,67750,31375"
2843)
2844tg (CPTG
2845uid 1161,0
2846ps "CptPortTextPlaceStrategy"
2847stg "RightVerticalLayoutStrategy"
2848f (Text
2849uid 1162,0
2850va (VaSet
2851)
2852xt "60400,30500,66000,31500"
2853st "config_started"
2854ju 2
2855blo "66000,31300"
2856tm "CptPortNameMgr"
2857)
2858)
2859dt (MLText
2860uid 1163,0
2861va (VaSet
2862font "Courier New,8,0"
2863)
2864xt "2000,39200,38500,40000"
2865st "config_started : OUT std_logic := '0' ;
2866"
2867)
2868thePort (LogicalPort
2869m 1
2870decl (Decl
2871n "config_started"
2872t "std_logic"
2873o 29
2874suid 48,0
2875i "'0'"
2876)
2877)
2878)
2879*175 (CptPort
2880uid 1164,0
2881ps "OnEdgeStrategy"
2882shape (Triangle
2883uid 1165,0
2884ro 90
2885va (VaSet
2886vasetType 1
2887fg "0,65535,0"
2888)
2889xt "36250,29625,37000,30375"
2890)
2891tg (CPTG
2892uid 1166,0
2893ps "CptPortTextPlaceStrategy"
2894stg "VerticalLayoutStrategy"
2895f (Text
2896uid 1167,0
2897va (VaSet
2898)
2899xt "38000,29500,42600,30500"
2900st "new_config"
2901blo "38000,30300"
2902tm "CptPortNameMgr"
2903)
2904)
2905dt (MLText
2906uid 1168,0
2907va (VaSet
2908font "Courier New,8,0"
2909)
2910xt "2000,37600,25500,39200"
2911st "-- s_trigger : in std_logic;
2912new_config : IN std_logic ;
2913"
2914)
2915thePort (LogicalPort
2916decl (Decl
2917n "new_config"
2918t "std_logic"
2919prec "-- s_trigger : in std_logic;"
2920preAdd 0
2921o 28
2922suid 49,0
2923)
2924)
2925)
2926*176 (CptPort
2927uid 1196,0
2928ps "OnEdgeStrategy"
2929shape (Triangle
2930uid 1197,0
2931ro 90
2932va (VaSet
2933vasetType 1
2934fg "0,65535,0"
2935)
2936xt "36250,30625,37000,31375"
2937)
2938tg (CPTG
2939uid 1198,0
2940ps "CptPortTextPlaceStrategy"
2941stg "VerticalLayoutStrategy"
2942f (Text
2943uid 1199,0
2944va (VaSet
2945)
2946xt "38000,30500,45000,31500"
2947st "config_started_cm"
2948blo "38000,31300"
2949tm "CptPortNameMgr"
2950)
2951)
2952dt (MLText
2953uid 1200,0
2954va (VaSet
2955font "Courier New,8,0"
2956)
2957xt "2000,28000,25500,28800"
2958st "config_started_cm : IN std_logic ;
2959"
2960)
2961thePort (LogicalPort
2962decl (Decl
2963n "config_started_cm"
2964t "std_logic"
2965o 16
2966suid 50,0
2967)
2968)
2969)
2970*177 (CptPort
2971uid 1201,0
2972ps "OnEdgeStrategy"
2973shape (Triangle
2974uid 1202,0
2975ro 90
2976va (VaSet
2977vasetType 1
2978fg "0,65535,0"
2979)
2980xt "36250,31625,37000,32375"
2981)
2982tg (CPTG
2983uid 1203,0
2984ps "CptPortTextPlaceStrategy"
2985stg "VerticalLayoutStrategy"
2986f (Text
2987uid 1204,0
2988va (VaSet
2989)
2990xt "38000,31500,45200,32500"
2991st "config_started_mm"
2992blo "38000,32300"
2993tm "CptPortNameMgr"
2994)
2995)
2996dt (MLText
2997uid 1205,0
2998va (VaSet
2999font "Courier New,8,0"
3000)
3001xt "2000,27200,25500,28000"
3002st "config_started_mm : IN std_logic ;
3003"
3004)
3005thePort (LogicalPort
3006decl (Decl
3007n "config_started_mm"
3008t "std_logic"
3009o 15
3010suid 51,0
3011)
3012)
3013)
3014*178 (CptPort
3015uid 1206,0
3016ps "OnEdgeStrategy"
3017shape (Triangle
3018uid 1207,0
3019ro 90
3020va (VaSet
3021vasetType 1
3022fg "0,65535,0"
3023)
3024xt "36250,32625,37000,33375"
3025)
3026tg (CPTG
3027uid 1208,0
3028ps "CptPortTextPlaceStrategy"
3029stg "VerticalLayoutStrategy"
3030f (Text
3031uid 1209,0
3032va (VaSet
3033)
3034xt "38000,32500,45000,33500"
3035st "config_started_spi"
3036blo "38000,33300"
3037tm "CptPortNameMgr"
3038)
3039)
3040dt (MLText
3041uid 1210,0
3042va (VaSet
3043font "Courier New,8,0"
3044)
3045xt "2000,28800,25500,29600"
3046st "config_started_spi : IN std_logic ;
3047"
3048)
3049thePort (LogicalPort
3050decl (Decl
3051n "config_started_spi"
3052t "std_logic"
3053o 17
3054suid 52,0
3055)
3056)
3057)
3058*179 (CptPort
3059uid 1240,0
3060ps "OnEdgeStrategy"
3061shape (Triangle
3062uid 1241,0
3063ro 90
3064va (VaSet
3065vasetType 1
3066fg "0,65535,0"
3067)
3068xt "36250,33625,37000,34375"
3069)
3070tg (CPTG
3071uid 1242,0
3072ps "CptPortTextPlaceStrategy"
3073stg "VerticalLayoutStrategy"
3074f (Text
3075uid 1243,0
3076va (VaSet
3077)
3078xt "38000,33500,41700,34500"
3079st "dac_array"
3080blo "38000,34300"
3081tm "CptPortNameMgr"
3082)
3083)
3084dt (MLText
3085uid 1244,0
3086va (VaSet
3087font "Courier New,8,0"
3088)
3089xt "2000,32800,28000,33600"
3090st "dac_array : IN dac_array_type ;
3091"
3092)
3093thePort (LogicalPort
3094decl (Decl
3095n "dac_array"
3096t "dac_array_type"
3097o 22
3098suid 53,0
3099)
3100)
3101)
3102*180 (CptPort
3103uid 1395,0
3104ps "OnEdgeStrategy"
3105shape (Triangle
3106uid 1396,0
3107ro 90
3108va (VaSet
3109vasetType 1
3110fg "0,65535,0"
3111)
3112xt "67000,31625,67750,32375"
3113)
3114tg (CPTG
3115uid 1397,0
3116ps "CptPortTextPlaceStrategy"
3117stg "RightVerticalLayoutStrategy"
3118f (Text
3119uid 1398,0
3120va (VaSet
3121)
3122xt "61500,31500,66000,32500"
3123st "adc_clk_en"
3124ju 2
3125blo "66000,32300"
3126tm "CptPortNameMgr"
3127)
3128)
3129dt (MLText
3130uid 1399,0
3131va (VaSet
3132font "Courier New,8,0"
3133)
3134xt "2000,41600,38500,42400"
3135st "adc_clk_en : OUT std_logic := '0' ;
3136"
3137)
3138thePort (LogicalPort
3139m 1
3140decl (Decl
3141n "adc_clk_en"
3142t "std_logic"
3143o 32
3144suid 54,0
3145i "'0'"
3146)
3147)
3148)
3149*181 (CptPort
3150uid 1427,0
3151ps "OnEdgeStrategy"
3152shape (Triangle
3153uid 1428,0
3154ro 90
3155va (VaSet
3156vasetType 1
3157fg "0,65535,0"
3158)
3159xt "36250,34625,37000,35375"
3160)
3161tg (CPTG
3162uid 1429,0
3163ps "CptPortTextPlaceStrategy"
3164stg "VerticalLayoutStrategy"
3165f (Text
3166uid 1430,0
3167va (VaSet
3168)
3169xt "38000,34500,43500,35500"
3170st "adc_otr : (3:0)"
3171blo "38000,35300"
3172tm "CptPortNameMgr"
3173)
3174)
3175dt (MLText
3176uid 1431,0
3177va (VaSet
3178font "Courier New,8,0"
3179)
3180xt "2000,42400,35000,43200"
3181st "adc_otr : IN std_logic_vector (3 downto 0) ;
3182"
3183)
3184thePort (LogicalPort
3185decl (Decl
3186n "adc_otr"
3187t "std_logic_vector"
3188b "(3 downto 0)"
3189o 33
3190suid 55,0
3191)
3192)
3193)
3194*182 (CptPort
3195uid 1459,0
3196ps "OnEdgeStrategy"
3197shape (Triangle
3198uid 1460,0
3199ro 90
3200va (VaSet
3201vasetType 1
3202fg "0,65535,0"
3203)
3204xt "67000,32625,67750,33375"
3205)
3206tg (CPTG
3207uid 1461,0
3208ps "CptPortTextPlaceStrategy"
3209stg "RightVerticalLayoutStrategy"
3210f (Text
3211uid 1462,0
3212va (VaSet
3213)
3214xt "58000,32500,66000,33500"
3215st "drs_srin_data : (7:0)"
3216ju 2
3217blo "66000,33300"
3218tm "CptPortNameMgr"
3219)
3220)
3221dt (MLText
3222uid 1463,0
3223va (VaSet
3224font "Courier New,8,0"
3225)
3226xt "2000,52000,44500,52800"
3227st "drs_srin_data : OUT std_logic_vector (7 downto 0) := (others => '0') ;
3228"
3229)
3230thePort (LogicalPort
3231m 1
3232decl (Decl
3233n "drs_srin_data"
3234t "std_logic_vector"
3235b "(7 downto 0)"
3236o 41
3237suid 56,0
3238i "(others => '0')"
3239)
3240)
3241)
3242*183 (CptPort
3243uid 1464,0
3244ps "OnEdgeStrategy"
3245shape (Triangle
3246uid 1465,0
3247ro 90
3248va (VaSet
3249vasetType 1
3250fg "0,65535,0"
3251)
3252xt "67000,33625,67750,34375"
3253)
3254tg (CPTG
3255uid 1466,0
3256ps "CptPortTextPlaceStrategy"
3257stg "RightVerticalLayoutStrategy"
3258f (Text
3259uid 1467,0
3260va (VaSet
3261)
3262xt "59200,33500,66000,34500"
3263st "drs_srin_write_8b"
3264ju 2
3265blo "66000,34300"
3266tm "CptPortNameMgr"
3267)
3268)
3269dt (MLText
3270uid 1468,0
3271va (VaSet
3272font "Courier New,8,0"
3273)
3274xt "2000,50400,38500,51200"
3275st "drs_srin_write_8b : OUT std_logic := '0' ;
3276"
3277)
3278thePort (LogicalPort
3279m 1
3280decl (Decl
3281n "drs_srin_write_8b"
3282t "std_logic"
3283o 39
3284suid 57,0
3285i "'0'"
3286)
3287)
3288)
3289*184 (CptPort
3290uid 1469,0
3291ps "OnEdgeStrategy"
3292shape (Triangle
3293uid 1470,0
3294ro 90
3295va (VaSet
3296vasetType 1
3297fg "0,65535,0"
3298)
3299xt "36250,35625,37000,36375"
3300)
3301tg (CPTG
3302uid 1471,0
3303ps "CptPortTextPlaceStrategy"
3304stg "VerticalLayoutStrategy"
3305f (Text
3306uid 1472,0
3307va (VaSet
3308)
3309xt "38000,35500,45100,36500"
3310st "drs_srin_write_ack"
3311blo "38000,36300"
3312tm "CptPortNameMgr"
3313)
3314)
3315dt (MLText
3316uid 1473,0
3317va (VaSet
3318font "Courier New,8,0"
3319)
3320xt "2000,51200,25500,52000"
3321st "drs_srin_write_ack : IN std_logic ;
3322"
3323)
3324thePort (LogicalPort
3325decl (Decl
3326n "drs_srin_write_ack"
3327t "std_logic"
3328o 40
3329suid 58,0
3330)
3331)
3332)
3333*185 (CptPort
3334uid 1474,0
3335ps "OnEdgeStrategy"
3336shape (Triangle
3337uid 1475,0
3338ro 90
3339va (VaSet
3340vasetType 1
3341fg "0,65535,0"
3342)
3343xt "36250,36625,37000,37375"
3344)
3345tg (CPTG
3346uid 1476,0
3347ps "CptPortTextPlaceStrategy"
3348stg "VerticalLayoutStrategy"
3349f (Text
3350uid 1477,0
3351va (VaSet
3352)
3353xt "38000,36500,46200,37500"
3354st "drs_srin_write_ready"
3355blo "38000,37300"
3356tm "CptPortNameMgr"
3357)
3358)
3359dt (MLText
3360uid 1478,0
3361va (VaSet
3362font "Courier New,8,0"
3363)
3364xt "2000,52800,25500,53600"
3365st "drs_srin_write_ready : IN std_logic ;
3366"
3367)
3368thePort (LogicalPort
3369decl (Decl
3370n "drs_srin_write_ready"
3371t "std_logic"
3372o 42
3373suid 59,0
3374)
3375)
3376)
3377*186 (CptPort
3378uid 1479,0
3379ps "OnEdgeStrategy"
3380shape (Triangle
3381uid 1480,0
3382ro 90
3383va (VaSet
3384vasetType 1
3385fg "0,65535,0"
3386)
3387xt "36250,37625,37000,38375"
3388)
3389tg (CPTG
3390uid 1481,0
3391ps "CptPortTextPlaceStrategy"
3392stg "VerticalLayoutStrategy"
3393f (Text
3394uid 1482,0
3395va (VaSet
3396)
3397xt "38000,37500,45800,38500"
3398st "ram_write_ready_ack"
3399blo "38000,38300"
3400tm "CptPortNameMgr"
3401)
3402)
3403dt (MLText
3404uid 1483,0
3405va (VaSet
3406font "Courier New,8,0"
3407)
3408xt "2000,18400,25500,20000"
3409st "-- --
3410ram_write_ready_ack : IN std_logic ;
3411"
3412)
3413thePort (LogicalPort
3414decl (Decl
3415n "ram_write_ready_ack"
3416t "std_logic"
3417prec "-- --"
3418preAdd 0
3419posAdd 0
3420o 8
3421suid 60,0
3422)
3423)
3424)
3425*187 (CptPort
3426uid 1519,0
3427ps "OnEdgeStrategy"
3428shape (Triangle
3429uid 1520,0
3430ro 90
3431va (VaSet
3432vasetType 1
3433fg "0,65535,0"
3434)
3435xt "67000,34625,67750,35375"
3436)
3437tg (CPTG
3438uid 1521,0
3439ps "CptPortTextPlaceStrategy"
3440stg "RightVerticalLayoutStrategy"
3441f (Text
3442uid 1522,0
3443va (VaSet
3444)
3445xt "58500,34500,66000,35500"
3446st "drs_readout_started"
3447ju 2
3448blo "66000,35300"
3449tm "CptPortNameMgr"
3450)
3451)
3452dt (MLText
3453uid 1523,0
3454va (VaSet
3455font "Courier New,8,0"
3456)
3457xt "2000,55200,37500,56000"
3458st "drs_readout_started : OUT std_logic := '0'
3459"
3460)
3461thePort (LogicalPort
3462m 1
3463decl (Decl
3464n "drs_readout_started"
3465t "std_logic"
3466o 45
3467suid 61,0
3468i "'0'"
3469)
3470)
3471)
3472*188 (CptPort
3473uid 1551,0
3474ps "OnEdgeStrategy"
3475shape (Triangle
3476uid 1552,0
3477ro 90
3478va (VaSet
3479vasetType 1
3480fg "0,65535,0"
3481)
3482xt "67000,35625,67750,36375"
3483)
3484tg (CPTG
3485uid 1553,0
3486ps "CptPortTextPlaceStrategy"
3487stg "RightVerticalLayoutStrategy"
3488f (Text
3489uid 1554,0
3490va (VaSet
3491)
3492xt "59000,35500,66000,36500"
3493st "drs_readout_ready"
3494ju 2
3495blo "66000,36300"
3496tm "CptPortNameMgr"
3497)
3498)
3499dt (MLText
3500uid 1555,0
3501va (VaSet
3502font "Courier New,8,0"
3503)
3504xt "2000,44000,38500,46400"
3505st "-- --
3506-- drs_dwrite : out std_logic := '1';
3507drs_readout_ready : OUT std_logic := '0' ;
3508"
3509)
3510thePort (LogicalPort
3511m 1
3512decl (Decl
3513n "drs_readout_ready"
3514t "std_logic"
3515prec "-- --
3516-- drs_dwrite : out std_logic := '1';"
3517preAdd 0
3518posAdd 0
3519o 35
3520suid 62,0
3521i "'0'"
3522)
3523)
3524)
3525*189 (CptPort
3526uid 1583,0
3527ps "OnEdgeStrategy"
3528shape (Triangle
3529uid 1584,0
3530ro 90
3531va (VaSet
3532vasetType 1
3533fg "0,65535,0"
3534)
3535xt "36250,38625,37000,39375"
3536)
3537tg (CPTG
3538uid 1585,0
3539ps "CptPortTextPlaceStrategy"
3540stg "VerticalLayoutStrategy"
3541f (Text
3542uid 1586,0
3543va (VaSet
3544)
3545xt "38000,38500,46900,39500"
3546st "drs_readout_ready_ack"
3547blo "38000,39300"
3548tm "CptPortNameMgr"
3549)
3550)
3551dt (MLText
3552uid 1587,0
3553va (VaSet
3554font "Courier New,8,0"
3555)
3556xt "2000,46400,25500,47200"
3557st "drs_readout_ready_ack : IN std_logic ;
3558"
3559)
3560thePort (LogicalPort
3561decl (Decl
3562n "drs_readout_ready_ack"
3563t "std_logic"
3564o 36
3565suid 63,0
3566)
3567)
3568)
3569]
3570shape (Rectangle
3571uid 238,0
3572va (VaSet
3573vasetType 1
3574fg "0,65535,0"
3575lineColor "0,32896,0"
3576lineWidth 2
3577)
3578xt "37000,1000,67000,40000"
3579)
3580oxt "37000,1000,51000,21000"
3581biTextGroup (BiTextGroup
3582uid 10,0
3583ps "CenterOffsetStrategy"
3584stg "VerticalLayoutStrategy"
3585first (Text
3586uid 11,0
3587va (VaSet
3588font "Arial,8,1"
3589)
3590xt "38300,21000,44500,22000"
3591st "FACT_FAD_lib"
3592blo "38300,21800"
3593)
3594second (Text
3595uid 12,0
3596va (VaSet
3597font "Arial,8,1"
3598)
3599xt "38300,22000,44700,23000"
3600st "data_generator"
3601blo "38300,22800"
3602)
3603)
3604gi *190 (GenericInterface
3605uid 13,0
3606ps "CenterOffsetStrategy"
3607matrix (Matrix
3608uid 14,0
3609text (MLText
3610uid 15,0
3611va (VaSet
3612font "Courier New,8,0"
3613)
3614xt "37000,5200,52000,7600"
3615st "Generic Declarations
3616
3617RAM_ADDR_WIDTH integer 12
3618"
3619)
3620header "Generic Declarations"
3621showHdrWhenContentsEmpty 1
3622)
3623elements [
3624(GiElement
3625name "RAM_ADDR_WIDTH"
3626type "integer"
3627value "12"
3628)
3629]
3630)
3631portInstanceVisAsIs 1
3632portInstanceVis (PortSigDisplay
3633)
3634portVis (PortSigDisplay
3635)
3636)
3637*191 (Grouping
3638uid 16,0
3639optionalChildren [
3640*192 (CommentText
3641uid 18,0
3642shape (Rectangle
3643uid 19,0
3644sl 0
3645va (VaSet
3646vasetType 1
3647fg "65280,65280,46080"
3648)
3649xt "41000,29000,58000,30000"
3650)
3651oxt "18000,70000,35000,71000"
3652text (MLText
3653uid 20,0
3654va (VaSet
3655fg "0,0,32768"
3656bg "0,0,32768"
3657)
3658xt "41200,29000,50800,30000"
3659st "
3660by %user on %dd %month %year
3661"
3662tm "CommentText"
3663wrapOption 3
3664visibleHeight 1000
3665visibleWidth 17000
3666)
3667position 1
3668ignorePrefs 1
3669titleBlock 1
3670)
3671*193 (CommentText
3672uid 21,0
3673shape (Rectangle
3674uid 22,0
3675sl 0
3676va (VaSet
3677vasetType 1
3678fg "65280,65280,46080"
3679)
3680xt "58000,25000,62000,26000"
3681)
3682oxt "35000,66000,39000,67000"
3683text (MLText
3684uid 23,0
3685va (VaSet
3686fg "0,0,32768"
3687bg "0,0,32768"
3688)
3689xt "58200,25000,61200,26000"
3690st "
3691Project:
3692"
3693tm "CommentText"
3694wrapOption 3
3695visibleHeight 1000
3696visibleWidth 4000
3697)
3698position 1
3699ignorePrefs 1
3700titleBlock 1
3701)
3702*194 (CommentText
3703uid 24,0
3704shape (Rectangle
3705uid 25,0
3706sl 0
3707va (VaSet
3708vasetType 1
3709fg "65280,65280,46080"
3710)
3711xt "41000,27000,58000,28000"
3712)
3713oxt "18000,68000,35000,69000"
3714text (MLText
3715uid 26,0
3716va (VaSet
3717fg "0,0,32768"
3718bg "0,0,32768"
3719)
3720xt "41200,27000,51200,28000"
3721st "
3722<enter diagram title here>
3723"
3724tm "CommentText"
3725wrapOption 3
3726visibleHeight 1000
3727visibleWidth 17000
3728)
3729position 1
3730ignorePrefs 1
3731titleBlock 1
3732)
3733*195 (CommentText
3734uid 27,0
3735shape (Rectangle
3736uid 28,0
3737sl 0
3738va (VaSet
3739vasetType 1
3740fg "65280,65280,46080"
3741)
3742xt "37000,27000,41000,28000"
3743)
3744oxt "14000,68000,18000,69000"
3745text (MLText
3746uid 29,0
3747va (VaSet
3748fg "0,0,32768"
3749bg "0,0,32768"
3750)
3751xt "37200,27000,39300,28000"
3752st "
3753Title:
3754"
3755tm "CommentText"
3756wrapOption 3
3757visibleHeight 1000
3758visibleWidth 4000
3759)
3760position 1
3761ignorePrefs 1
3762titleBlock 1
3763)
3764*196 (CommentText
3765uid 30,0
3766shape (Rectangle
3767uid 31,0
3768sl 0
3769va (VaSet
3770vasetType 1
3771fg "65280,65280,46080"
3772)
3773xt "58000,26000,78000,30000"
3774)
3775oxt "35000,67000,55000,71000"
3776text (MLText
3777uid 32,0
3778va (VaSet
3779fg "0,0,32768"
3780bg "0,0,32768"
3781)
3782xt "58200,26200,67400,27200"
3783st "
3784<enter comments here>
3785"
3786tm "CommentText"
3787wrapOption 3
3788visibleHeight 4000
3789visibleWidth 20000
3790)
3791ignorePrefs 1
3792titleBlock 1
3793)
3794*197 (CommentText
3795uid 33,0
3796shape (Rectangle
3797uid 34,0
3798sl 0
3799va (VaSet
3800vasetType 1
3801fg "65280,65280,46080"
3802)
3803xt "62000,25000,78000,26000"
3804)
3805oxt "39000,66000,55000,67000"
3806text (MLText
3807uid 35,0
3808va (VaSet
3809fg "0,0,32768"
3810bg "0,0,32768"
3811)
3812xt "62200,25000,66700,26000"
3813st "
3814%project_name
3815"
3816tm "CommentText"
3817wrapOption 3
3818visibleHeight 1000
3819visibleWidth 16000
3820)
3821position 1
3822ignorePrefs 1
3823titleBlock 1
3824)
3825*198 (CommentText
3826uid 36,0
3827shape (Rectangle
3828uid 37,0
3829sl 0
3830va (VaSet
3831vasetType 1
3832fg "65280,65280,46080"
3833)
3834xt "37000,25000,58000,27000"
3835)
3836oxt "14000,66000,35000,68000"
3837text (MLText
3838uid 38,0
3839va (VaSet
3840fg "32768,0,0"
3841)
3842xt "44150,25500,50850,26500"
3843st "
3844<company name>
3845"
3846ju 0
3847tm "CommentText"
3848wrapOption 3
3849visibleHeight 2000
3850visibleWidth 21000
3851)
3852position 1
3853ignorePrefs 1
3854titleBlock 1
3855)
3856*199 (CommentText
3857uid 39,0
3858shape (Rectangle
3859uid 40,0
3860sl 0
3861va (VaSet
3862vasetType 1
3863fg "65280,65280,46080"
3864)
3865xt "37000,28000,41000,29000"
3866)
3867oxt "14000,69000,18000,70000"
3868text (MLText
3869uid 41,0
3870va (VaSet
3871fg "0,0,32768"
3872bg "0,0,32768"
3873)
3874xt "37200,28000,39300,29000"
3875st "
3876Path:
3877"
3878tm "CommentText"
3879wrapOption 3
3880visibleHeight 1000
3881visibleWidth 4000
3882)
3883position 1
3884ignorePrefs 1
3885titleBlock 1
3886)
3887*200 (CommentText
3888uid 42,0
3889shape (Rectangle
3890uid 43,0
3891sl 0
3892va (VaSet
3893vasetType 1
3894fg "65280,65280,46080"
3895)
3896xt "37000,29000,41000,30000"
3897)
3898oxt "14000,70000,18000,71000"
3899text (MLText
3900uid 44,0
3901va (VaSet
3902fg "0,0,32768"
3903bg "0,0,32768"
3904)
3905xt "37200,29000,39900,30000"
3906st "
3907Edited:
3908"
3909tm "CommentText"
3910wrapOption 3
3911visibleHeight 1000
3912visibleWidth 4000
3913)
3914position 1
3915ignorePrefs 1
3916titleBlock 1
3917)
3918*201 (CommentText
3919uid 45,0
3920shape (Rectangle
3921uid 46,0
3922sl 0
3923va (VaSet
3924vasetType 1
3925fg "65280,65280,46080"
3926)
3927xt "41000,28000,58000,29000"
3928)
3929oxt "18000,69000,35000,70000"
3930text (MLText
3931uid 47,0
3932va (VaSet
3933fg "0,0,32768"
3934bg "0,0,32768"
3935)
3936xt "41200,28000,55500,29000"
3937st "
3938%library/%unit/%view
3939"
3940tm "CommentText"
3941wrapOption 3
3942visibleHeight 1000
3943visibleWidth 17000
3944)
3945position 1
3946ignorePrefs 1
3947titleBlock 1
3948)
3949]
3950shape (GroupingShape
3951uid 17,0
3952va (VaSet
3953vasetType 1
3954fg "65535,65535,65535"
3955lineStyle 2
3956lineWidth 2
3957)
3958xt "37000,25000,78000,30000"
3959)
3960oxt "14000,66000,55000,71000"
3961)
3962*202 (CommentText
3963uid 103,0
3964shape (Rectangle
3965uid 104,0
3966layer 0
3967va (VaSet
3968vasetType 1
3969fg "65280,65280,46080"
3970lineColor "0,0,32768"
3971)
3972xt "0,-6000,33000,0"
3973)
3974text (MLText
3975uid 105,0
3976va (VaSet
3977fg "0,0,32768"
3978font "Arial,10,0"
3979)
3980xt "200,-5800,32200,-600"
3981st "
3982Created using Mentor Graphics HDL2Graphics(TM) Technology
3983on - 16:03:02 10.02.2010
3984from - D:\\E5b\\E5b_09_189\\FPGA\\FACT_FAD\\FACT_FAD_lib\\hdl\\data_generator.vhd
3985
3986"
3987tm "CommentText"
3988wrapOption 3
3989visibleHeight 5600
3990visibleWidth 32600
3991)
3992)
3993]
3994bg "65535,65535,65535"
3995grid (Grid
3996origin "0,0"
3997isVisible 1
3998isActive 1
3999xSpacing 1000
4000xySpacing 1000
4001xShown 1
4002yShown 1
4003color "26368,26368,26368"
4004)
4005packageList *203 (PackageList
4006uid 131,0
4007stg "VerticalLayoutStrategy"
4008textVec [
4009*204 (Text
4010uid 132,0
4011va (VaSet
4012font "arial,8,1"
4013)
4014xt "0,1000,5400,2000"
4015st "Package List"
4016blo "0,1800"
4017)
4018*205 (MLText
4019uid 133,0
4020va (VaSet
4021)
4022xt "0,2000,15200,8000"
4023st "library IEEE;
4024use IEEE.STD_LOGIC_1164.ALL;
4025use IEEE.STD_LOGIC_ARITH.ALL;
4026use IEEE.STD_LOGIC_UNSIGNED.ALL;
4027library fact_fad_lib;
4028use fact_fad_lib.fad_definitions.all;"
4029tm "PackageList"
4030)
4031]
4032)
4033windowSize "0,0,1015,690"
4034viewArea "0,0,0,0"
4035cachedDiagramExtent "0,0,0,0"
4036pageBreakOrigin "0,0"
4037defaultCommentText (CommentText
4038shape (Rectangle
4039layer 0
4040va (VaSet
4041vasetType 1
4042fg "65280,65280,46080"
4043lineColor "0,0,32768"
4044)
4045xt "0,0,15000,5000"
4046)
4047text (MLText
4048va (VaSet
4049fg "0,0,32768"
4050)
4051xt "200,200,2000,1200"
4052st "
4053Text
4054"
4055tm "CommentText"
4056wrapOption 3
4057visibleHeight 4600
4058visibleWidth 14600
4059)
4060)
4061defaultPanel (Panel
4062shape (RectFrame
4063va (VaSet
4064vasetType 1
4065fg "65535,65535,65535"
4066lineColor "32768,0,0"
4067lineWidth 3
4068)
4069xt "0,0,20000,20000"
4070)
4071title (TextAssociate
4072ps "TopLeftStrategy"
4073text (Text
4074va (VaSet
4075font "Arial,8,1"
4076)
4077xt "1000,1000,3800,2000"
4078st "Panel0"
4079blo "1000,1800"
4080tm "PanelText"
4081)
4082)
4083)
4084parentGraphicsRef (HdmGraphicsRef
4085libraryName ""
4086entityName ""
4087viewName ""
4088)
4089defaultSymbolBody (SymbolBody
4090shape (Rectangle
4091va (VaSet
4092vasetType 1
4093fg "0,65535,0"
4094lineColor "0,32896,0"
4095lineWidth 2
4096)
4097xt "15000,6000,33000,26000"
4098)
4099biTextGroup (BiTextGroup
4100ps "CenterOffsetStrategy"
4101stg "VerticalLayoutStrategy"
4102first (Text
4103va (VaSet
4104font "Arial,8,1"
4105)
4106xt "22200,15000,25800,16000"
4107st "<library>"
4108blo "22200,15800"
4109)
4110second (Text
4111va (VaSet
4112font "Arial,8,1"
4113)
4114xt "22200,16000,24800,17000"
4115st "<cell>"
4116blo "22200,16800"
4117)
4118)
4119gi *206 (GenericInterface
4120ps "CenterOffsetStrategy"
4121matrix (Matrix
4122text (MLText
4123va (VaSet
4124font "Courier New,8,0"
4125)
4126xt "0,12000,11500,12800"
4127st "Generic Declarations"
4128)
4129header "Generic Declarations"
4130showHdrWhenContentsEmpty 1
4131)
4132elements [
4133]
4134)
4135portInstanceVisAsIs 1
4136portInstanceVis (PortSigDisplay
4137sIVOD 1
4138)
4139portVis (PortSigDisplay
4140sIVOD 1
4141)
4142)
4143defaultCptPort (CptPort
4144ps "OnEdgeStrategy"
4145shape (Triangle
4146ro 90
4147va (VaSet
4148vasetType 1
4149fg "0,65535,0"
4150)
4151xt "0,0,750,750"
4152)
4153tg (CPTG
4154ps "CptPortTextPlaceStrategy"
4155stg "VerticalLayoutStrategy"
4156f (Text
4157va (VaSet
4158)
4159xt "0,750,1400,1750"
4160st "In0"
4161blo "0,1550"
4162tm "CptPortNameMgr"
4163)
4164)
4165dt (MLText
4166va (VaSet
4167font "Courier New,8,0"
4168)
4169)
4170thePort (LogicalPort
4171decl (Decl
4172n "In0"
4173t "std_logic_vector"
4174b "(15 DOWNTO 0)"
4175o 0
4176)
4177)
4178)
4179defaultCptPortBuffer (CptPort
4180ps "OnEdgeStrategy"
4181shape (Diamond
4182va (VaSet
4183vasetType 1
4184fg "65535,65535,65535"
4185bg "0,0,0"
4186)
4187xt "0,0,750,750"
4188)
4189tg (CPTG
4190ps "CptPortTextPlaceStrategy"
4191stg "VerticalLayoutStrategy"
4192f (Text
4193va (VaSet
4194)
4195xt "0,750,2800,1750"
4196st "Buffer0"
4197blo "0,1550"
4198tm "CptPortNameMgr"
4199)
4200)
4201dt (MLText
4202va (VaSet
4203font "Courier New,8,0"
4204)
4205)
4206thePort (LogicalPort
4207m 3
4208decl (Decl
4209n "Buffer0"
4210t "std_logic_vector"
4211b "(15 DOWNTO 0)"
4212o 0
4213)
4214)
4215)
4216DeclarativeBlock *207 (SymDeclBlock
4217uid 1,0
4218stg "SymDeclLayoutStrategy"
4219declLabel (Text
4220uid 2,0
4221va (VaSet
4222font "Arial,8,1"
4223)
4224xt "0,10000,5400,11000"
4225st "Declarations"
4226blo "0,10800"
4227)
4228portLabel (Text
4229uid 3,0
4230va (VaSet
4231font "Arial,8,1"
4232)
4233xt "0,11000,2700,12000"
4234st "Ports:"
4235blo "0,11800"
4236)
4237externalLabel (Text
4238uid 4,0
4239va (VaSet
4240font "Arial,8,1"
4241)
4242xt "0,56000,2400,57000"
4243st "User:"
4244blo "0,56800"
4245)
4246internalLabel (Text
4247uid 6,0
4248va (VaSet
4249isHidden 1
4250font "Arial,8,1"
4251)
4252xt "0,10000,5800,11000"
4253st "Internal User:"
4254blo "0,10800"
4255)
4256externalText (MLText
4257uid 5,0
4258va (VaSet
4259font "Courier New,8,0"
4260)
4261xt "2000,57000,2000,57000"
4262tm "SyDeclarativeTextMgr"
4263)
4264internalText (MLText
4265uid 7,0
4266va (VaSet
4267isHidden 1
4268font "Courier New,8,0"
4269)
4270xt "0,10000,0,10000"
4271tm "SyDeclarativeTextMgr"
4272)
4273)
4274lastUid 1591,0
4275activeModelName "Symbol:CDM"
4276)
Note: See TracBrowser for help on using the repository browser.