source: firmware/FAD/FACT_FAD_TB_lib/hdl/led_controller_tester_beha.vhd.bak@ 12587

Last change on this file since 12587 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 1.3 KB
Line 
1--
2-- VHDL Architecture FACT_FAD_TB_lib.led_controller_tester.beha
3--
4-- Created:
5-- by - daqct3.UNKNOWN (IHP110)
6-- at - 09:49:29 02.03.2011
7--
8-- using Mentor Graphics HDL Designer(TM) 2009.1 (Build 12)
9--
10LIBRARY ieee;
11USE ieee.std_logic_1164.ALL;
12USE ieee.std_logic_arith.ALL;
13USE ieee.STD_LOGIC_UNSIGNED.ALL;
14LIBRARY FACT_FAD_lib;
15USE FACT_FAD_lib.fad_definitions.ALL;
16
17ENTITY led_controller_tester IS
18 PORT(
19 additional_flasher_out : IN std_logic;
20 amber : IN std_logic;
21 green : IN std_logic;
22 red : IN std_logic;
23
24 refclk_too_high : OUT std_logic;
25 refclk_too_low : OUT std_logic;
26 socks_connected : OUT std_logic;
27 socks_waiting : OUT std_logic;
28 trigger : OUT std_logic
29 );
30
31-- Declarations
32
33END led_controller_tester ;
34
35--
36ARCHITECTURE beha OF led_controller_tester IS
37BEGIN
38 process
39 begin
40 refclk_too_high <= '0';
41 refclk_too_low <= '0';
42 socks_connected <= '0';
43 socks_waiting <= '1';
44 trigger <= '0';
45
46 wait for 10s;
47 socks_connected <= '1';
48 trigger <= '1';
49 wait;
50
51
52 end process;
53
54END ARCHITECTURE beha;
55
Note: See TracBrowser for help on using the repository browser.