source: firmware/FAD/FACT_FAD_TB_lib/hdl/mod7_tb_struct.vhd@ 13408

Last change on this file since 13408 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 2.9 KB
Line 
1-- VHDL Entity FACT_FAD_TB_lib.mod7_tb.symbol
2--
3-- Created:
4-- by - dneise.UNKNOWN (E5B-LABOR6)
5-- at - 15:45:32 16.02.2011
6--
7-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
8--
9
10
11ENTITY mod7_tb IS
12-- Declarations
13
14END mod7_tb ;
15
16--
17-- VHDL Architecture FACT_FAD_TB_lib.mod7_tb.struct
18--
19-- Created:
20-- by - dneise.UNKNOWN (E5B-LABOR6)
21-- at - 15:45:32 16.02.2011
22--
23-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
24--
25LIBRARY ieee;
26USE ieee.std_logic_1164.ALL;
27USE ieee.std_logic_unsigned.ALL;
28USE ieee.std_logic_arith.ALL;
29
30LIBRARY FACT_FAD_lib;
31LIBRARY FACT_FAD_TB_lib;
32
33ARCHITECTURE struct OF mod7_tb IS
34
35 -- Architecture declarations
36
37 -- Internal signal declarations
38 SIGNAL clk : std_logic;
39 SIGNAL number : std_logic_vector(31 DOWNTO 0);
40 SIGNAL remainder : std_logic_vector(2 DOWNTO 0);
41 SIGNAL start : std_logic;
42 SIGNAL started : std_logic;
43 SIGNAL valid : std_logic;
44
45
46 -- Component Declarations
47 COMPONENT mod7
48 PORT (
49 clk : IN std_logic;
50 number : IN std_logic_vector (31 DOWNTO 0);
51 start : IN std_logic;
52 remainder : OUT std_logic_vector (2 DOWNTO 0) := (others => '0');
53 started : OUT std_logic := '0';
54 valid : OUT std_logic := '0'
55 );
56 END COMPONENT;
57 COMPONENT clock_generator
58 GENERIC (
59 clock_period : time := 20 ns;
60 reset_time : time := 50 ns
61 );
62 PORT (
63 clk : OUT std_logic := '0';
64 rst : OUT std_logic := '0'
65 );
66 END COMPONENT;
67 COMPONENT mod7_tester
68 PORT (
69 remainder : IN std_logic_vector (2 DOWNTO 0);
70 started : IN std_logic ;
71 valid : IN std_logic ;
72 number : OUT std_logic_vector (31 DOWNTO 0);
73 start : OUT std_logic
74 );
75 END COMPONENT;
76
77 -- Optional embedded configurations
78 -- pragma synthesis_off
79 FOR ALL : clock_generator USE ENTITY FACT_FAD_TB_lib.clock_generator;
80 FOR ALL : mod7 USE ENTITY FACT_FAD_lib.mod7;
81 FOR ALL : mod7_tester USE ENTITY FACT_FAD_TB_lib.mod7_tester;
82 -- pragma synthesis_on
83
84
85BEGIN
86
87 -- Instance port mappings.
88 U_0 : mod7
89 PORT MAP (
90 clk => clk,
91 number => number,
92 start => start,
93 remainder => remainder,
94 started => started,
95 valid => valid
96 );
97 U_2 : clock_generator
98 GENERIC MAP (
99 clock_period => 20 ns,
100 reset_time => 50 ns
101 )
102 PORT MAP (
103 clk => clk,
104 rst => OPEN
105 );
106 U_1 : mod7_tester
107 PORT MAP (
108 remainder => remainder,
109 started => started,
110 valid => valid,
111 number => number,
112 start => start
113 );
114
115END struct;
Note: See TracBrowser for help on using the repository browser.