source: firmware/FAD/FACT_FAD_TB_lib/hdl/mod7_tester_beha.vhd.bak@ 12587

Last change on this file since 12587 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 956 bytes
Line 
1--
2-- VHDL Architecture FACT_FAD_TB_lib.mod7_tester.beha
3--
4-- Created:
5-- by - dneise.UNKNOWN (E5B-LABOR6)
6-- at - 13:27:06 16.02.2011
7--
8-- using Mentor Graphics HDL Designer(TM) 2009.2 (Build 10)
9--
10LIBRARY ieee;
11USE ieee.std_logic_1164.ALL;
12USE ieee.std_logic_arith.ALL;
13USE ieee.std_logic_unsigned.ALL;
14
15ENTITY mod7_tester IS
16 PORT(
17 remainder : IN std_logic_vector (2 DOWNTO 0);
18 started : IN std_logic;
19 valid : IN std_logic;
20
21 number : OUT std_logic_vector (31 DOWNTO 0);
22 start : OUT std_logic
23 );
24
25-- Declarations
26
27END mod7_tester ;
28
29--
30ARCHITECTURE beha OF mod7_tester IS
31BEGIN
32 process
33 begin
34
35 number <= conv_std_logic_vector(100523,32);
36 wait for 50 ns;
37 start <= '1';
38 wait for 50 ns;
39 start <= '0';
40 wait for 310 ns;
41 number <= conv_std_logic_vector(106523,32);
42 start <= '1';
43 wait for 50 ns;
44 start <= '0';
45 wait;
46end process;
47END ARCHITECTURE beha;
48
Note: See TracBrowser for help on using the repository browser.