source: firmware/FAD/FACT_FAD_TB_lib/hdl/spi_ltc2600_tb_struct.vhd@ 13228

Last change on this file since 13228 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 3.8 KB
Line 
1-- VHDL Entity FACT_FAD_TB_lib.spi_ltc2600_tb.symbol
2--
3-- Created:
4-- by - dneise.UNKNOWN (E5B-LABOR6)
5-- at - 20:11:25 03.02.2011
6--
7-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
8--
9
10
11ENTITY spi_ltc2600_tb IS
12-- Declarations
13
14END spi_ltc2600_tb ;
15
16--
17-- VHDL Architecture FACT_FAD_TB_lib.spi_ltc2600_tb.struct
18--
19-- Created:
20-- by - dneise.UNKNOWN (E5B-LABOR6)
21-- at - 20:11:25 03.02.2011
22--
23-- Generated by Mentor Graphics' HDL Designer(TM) 2009.2 (Build 10)
24--
25LIBRARY ieee;
26USE ieee.std_logic_1164.ALL;
27USE ieee.std_logic_arith.ALL;
28USE ieee.std_logic_unsigned.all;
29
30LIBRARY FACT_FAD_lib;
31LIBRARY FACT_FAD_TB_lib;
32
33ARCHITECTURE struct OF spi_ltc2600_tb IS
34
35 -- Architecture declarations
36
37 -- Internal signal declarations
38 SIGNAL CS : std_logic;
39 SIGNAL MISO : std_logic;
40 SIGNAL MOSI : std_logic;
41 SIGNAL SCLK : std_logic;
42 SIGNAL address : std_logic_vector(3 DOWNTO 0);
43 SIGNAL busy : std_logic;
44 SIGNAL clk : std_logic;
45 SIGNAL command : std_logic_vector(3 DOWNTO 0);
46 SIGNAL data : std_logic_vector(15 DOWNTO 0);
47 SIGNAL ready : std_logic;
48 SIGNAL transmit : std_logic;
49
50
51 -- Component Declarations
52 COMPONENT spi_ltc2600
53 PORT (
54 address : IN std_logic_vector (3 DOWNTO 0);
55 clk : IN std_logic;
56 command : IN std_logic_vector (3 DOWNTO 0);
57 data : IN std_logic_vector (15 DOWNTO 0);
58 transmit : IN std_logic;
59 CS : OUT std_logic := '1';
60 MISO : OUT std_logic := 'Z';
61 MOSI : OUT std_logic := '0';
62 SCLK : OUT std_logic := '0';
63 busy : OUT std_logic := '1';
64 ready : OUT std_logic := '0'
65 );
66 END COMPONENT;
67 COMPONENT clock_generator
68 GENERIC (
69 clock_period : time := 20 ns;
70 reset_time : time := 50 ns
71 );
72 PORT (
73 clk : OUT std_logic := '0';
74 rst : OUT std_logic := '0'
75 );
76 END COMPONENT;
77 COMPONENT spi_ltc2600_tester
78 PORT (
79 CS : IN std_logic ;
80 MISO : IN std_logic ;
81 MOSI : IN std_logic ;
82 SCLK : IN std_logic ;
83 busy : IN std_logic ;
84 ready : IN std_logic ;
85 address : OUT std_logic_vector (3 DOWNTO 0);
86 command : OUT std_logic_vector (3 DOWNTO 0);
87 data : OUT std_logic_vector (15 DOWNTO 0);
88 transmit : OUT std_logic
89 );
90 END COMPONENT;
91
92 -- Optional embedded configurations
93 -- pragma synthesis_off
94 FOR ALL : clock_generator USE ENTITY FACT_FAD_TB_lib.clock_generator;
95 FOR ALL : spi_ltc2600 USE ENTITY FACT_FAD_lib.spi_ltc2600;
96 FOR ALL : spi_ltc2600_tester USE ENTITY FACT_FAD_TB_lib.spi_ltc2600_tester;
97 -- pragma synthesis_on
98
99
100BEGIN
101
102 -- Instance port mappings.
103 U_0 : spi_ltc2600
104 PORT MAP (
105 clk => clk,
106 SCLK => SCLK,
107 CS => CS,
108 MOSI => MOSI,
109 MISO => MISO,
110 transmit => transmit,
111 command => command,
112 address => address,
113 data => data,
114 ready => ready,
115 busy => busy
116 );
117 U_2 : clock_generator
118 GENERIC MAP (
119 clock_period => 20 ns,
120 reset_time => 50 ns
121 )
122 PORT MAP (
123 clk => clk,
124 rst => OPEN
125 );
126 U_1 : spi_ltc2600_tester
127 PORT MAP (
128 CS => CS,
129 MISO => MISO,
130 MOSI => MOSI,
131 SCLK => SCLK,
132 busy => busy,
133 ready => ready,
134 address => address,
135 command => command,
136 data => data,
137 transmit => transmit
138 );
139
140END struct;
Note: See TracBrowser for help on using the repository browser.