source: firmware/FAD/FACT_FAD_TB_lib/hdl/spi_ltc2600_tester_beha.vhd@ 15370

Last change on this file since 15370 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 1.2 KB
Line 
1--
2-- VHDL Architecture FACT_FAD_TB_lib.spi_ltc2600_tester.beha
3--
4-- Created:
5-- by - dneise.UNKNOWN (E5B-LABOR6)
6-- at - 20:02:26 03.02.2011
7--
8-- using Mentor Graphics HDL Designer(TM) 2009.2 (Build 10)
9--
10LIBRARY ieee;
11USE ieee.std_logic_1164.ALL;
12USE ieee.std_logic_arith.ALL;
13USE ieee.std_logic_unsigned.all;
14
15ENTITY spi_ltc2600_tester IS
16 PORT(
17 CS : IN std_logic;
18 MISO : IN std_logic;
19 MOSI : IN std_logic;
20 SCLK : IN std_logic;
21 busy : IN std_logic;
22 ready : IN std_logic;
23 address : OUT std_logic_vector (3 DOWNTO 0);
24
25 command : OUT std_logic_vector (3 DOWNTO 0);
26 data : OUT std_logic_vector (15 DOWNTO 0);
27 transmit : OUT std_logic
28 );
29
30-- Declarations
31
32END spi_ltc2600_tester ;
33
34--
35ARCHITECTURE beha OF spi_ltc2600_tester IS
36BEGIN
37 process
38 begin
39 command <= "0011";
40 address <= "1101";
41 data <= X"96F7";
42
43 transmit <= '0';
44 wait for 5000ns;
45 transmit <= '1';
46 wait for 50ns;
47 transmit <= '0';
48 wait for 20us;
49 transmit <= '1';
50 wait for 50ns;
51 transmit <= '0';
52 wait;
53 end process;
54END ARCHITECTURE beha;
55
Note: See TracBrowser for help on using the repository browser.