source: firmware/FAD/FACT_FAD_TB_lib/hdl/timer_tester_beha.vhd@ 15370

Last change on this file since 15370 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 1.4 KB
Line 
1--
2-- VHDL Architecture FACT_FAD_TB_lib.timer_tester.beha
3--
4-- Created:
5-- by - dneise.UNKNOWN (E5B-LABOR6)
6-- at - 15:31:09 22.02.2011
7--
8-- using Mentor Graphics HDL Designer(TM) 2009.2 (Build 10)
9--
10LIBRARY ieee;
11USE ieee.std_logic_1164.ALL;
12USE ieee.std_logic_arith.ALL;
13USE ieee.std_logic_unsigned.all;
14
15ENTITY timer_tester IS
16 PORT(
17 synched_o : IN std_logic;
18 time_o : IN std_logic_vector ( 31 DOWNTO 0);
19 enable_i : OUT std_logic;
20 reset_synch_i : OUT std_logic;
21 clk : OUT std_logic;
22 synch_i : OUT std_logic
23 );
24
25-- Declarations
26
27END timer_tester ;
28
29--
30ARCHITECTURE beha OF timer_tester IS
31 constant clock_period : time := 20 ns;
32BEGIN
33 clock_gen_proc: process
34 begin
35 clk <= '0';
36 wait for clock_period / 2;
37 clk <= '1';
38 wait for clock_period / 2;
39 end process clock_gen_proc;
40
41 process begin
42 enable_i <= '0';
43 synch_i <= '0';
44 reset_synch_i <= '0';
45 wait for 105 ns;
46 enable_i <= '1';
47 wait for 950 us;
48 wait for 7 ns;
49 synch_i <='1';
50 wait for 20 ns;
51 synch_i <= '0';
52 wait for 1 ms;
53 wait for 7 ns;
54 synch_i <='1';
55 wait for 20 ns;
56 synch_i <= '0';
57 wait for 1 ms;
58 reset_synch_i <= '1';
59 wait for 20 ns;
60 reset_synch_i <= '0';
61 wait for 1 ms;
62 synch_i <='1';
63 wait for 20 ns;
64 synch_i <= '0';
65 wait for 1 ms;
66 enable_i <= '0';
67 wait;
68 end process;
69
70END ARCHITECTURE beha;
71
Note: See TracBrowser for help on using the repository browser.