source: firmware/FAD/FACT_FAD_TB_lib/hdl/trigger__generator_beha.vhd@ 18350

Last change on this file since 18350 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 873 bytes
Line 
1--
2-- VHDL Architecture FACT_FAD_TB_lib.simple_trigger.beha
3--
4-- Created:
5-- by - FPGA_Developer.UNKNOWN (EEPC8)
6-- at - 14:01:15 10.02.2010
7--
8-- using Mentor Graphics HDL Designer(TM) 2008.1 (Build 17)
9--
10LIBRARY ieee;
11USE ieee.std_logic_1164.all;
12--USE ieee.NUMERIC_STD.all;
13use ieee.std_logic_arith.all;
14use ieee.std_logic_unsigned.all;
15
16library FACT_FAD_lib;
17use FACT_FAD_lib.fad_definitions.all;
18
19entity trigger_generator is
20 generic(
21 TRIGGER_RATE : time := 1 ms;
22 PULSE_WIDTH : time := 20 ns
23 );
24 port(
25 trigger : out std_logic
26 );
27end trigger_generator ;
28
29architecture beha of trigger_generator is
30begin
31
32 trigger_proc: process
33 begin
34 trigger <= '0';
35 wait for TRIGGER_RATE;
36 trigger <= '1';
37 wait for PULSE_WIDTH;
38 trigger <= '0';
39 --wait;
40 end process trigger_proc;
41end architecture beha;
42
Note: See TracBrowser for help on using the repository browser.