source: firmware/FAD/FACT_FAD_TB_lib/hdl/w5300_modul2_tester_beha.vhd@ 15370

Last change on this file since 15370 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 3.1 KB
Line 
1--
2-- VHDL Architecture FACT_FAD_TB_lib.w5300_modul2_tester.beha
3--
4-- Created:
5-- by - dneise.UNKNOWN (E5B-LABOR6)
6-- at - 14:18:31 31.05.2011
7--
8-- using Mentor Graphics HDL Designer(TM) 2009.2 (Build 10)
9--
10LIBRARY IEEE;
11USE IEEE.STD_LOGIC_1164.ALL;
12USE IEEE.STD_LOGIC_ARITH.ALL;
13USE IEEE.STD_LOGIC_UNSIGNED.ALL;
14LIBRARY FACT_FAD_lib;
15USE FACT_FAD_lib.fad_definitions.ALL;
16
17ENTITY w5300_modul2_tester IS
18 PORT(
19 clk : IN std_logic;
20
21
22 busy : IN std_logic;
23 c_trigger_enable : IN std_logic;
24 c_trigger_mult : IN std_logic_vector (15 DOWNTO 0);
25
26 dac_setting : IN dac_array_type;
27 data_valid_ack : IN std_logic;
28 debug_data_ram_empty : IN std_logic;
29 debug_data_valid : IN std_logic;
30 denable : IN std_logic;
31 dwrite_enable : IN std_logic;
32
33 memory_manager_config_start_o : IN std_logic;
34 ps_direction : IN std_logic;
35 ps_do_phase_shift : IN std_logic;
36 ps_reset : IN std_logic;
37 ram_addr : IN std_logic_vector (13 DOWNTO 0);
38
39 reset_trigger_id : IN std_logic;
40 roi_setting : IN roi_array_type;
41 runnumber : IN std_logic_vector (31 DOWNTO 0);
42 s_trigger : IN std_logic;
43 sclk_enable : IN std_logic;
44
45 socks_connected : IN std_logic;
46 socks_waiting : IN std_logic;
47 spi_interface_config_start_o : IN std_logic;
48 srclk_enable : IN std_logic;
49 state : IN std_logic_vector (7 DOWNTO 0);
50 trigger_enable : IN std_logic;
51 wiz_reset : IN std_logic;
52
53 BoardID : OUT std_logic_vector (3 DOWNTO 0);
54 CrateID : OUT std_logic_vector (1 DOWNTO 0);
55 MAC_jumper : OUT std_logic_vector (1 DOWNTO 0);
56
57 data_generator_idle_i : OUT std_logic;
58 data_ram_empty : OUT std_logic;
59 data_valid : OUT std_logic;
60 fifo_channels : OUT std_logic_vector (3 DOWNTO 0);
61
62 memory_manager_config_valid_i : OUT std_logic;
63 ps_ready : OUT std_logic;
64 ram_data : OUT std_logic_vector (15 DOWNTO 0);
65 ram_start_addr : OUT std_logic_vector (13 DOWNTO 0);
66 spi_interface_config_valid_i : OUT std_logic;
67 write_end_flag : OUT std_logic;
68 write_header_flag : OUT std_logic;
69 write_length : OUT std_logic_vector (16 DOWNTO 0)
70
71 );
72
73-- Declarations
74
75END w5300_modul2_tester ;
76
77--
78ARCHITECTURE beha OF w5300_modul2_tester IS
79BEGIN
80END ARCHITECTURE beha;
81
Note: See TracBrowser for help on using the repository browser.