source: firmware/FAD/FACT_FAD_TB_lib/hds/@f@a@d_rs485_receiver_tb/struct.bd.bak

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 48.6 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "ieee"
12unitName "std_logic_arith"
13itemName "ALL"
14)
15(DmPackageRef
16library "fact_fad_lib"
17unitName "fad_rs485_constants"
18itemName "ALL"
19)
20(DmPackageRef
21library "ieee"
22unitName "std_logic_unsigned"
23)
24]
25instances [
26(Instance
27name "U_0"
28duLibraryName "FACT_FAD_lib"
29duName "FAD_rs485_receiver"
30elements [
31(GiElement
32name "RX_BYTES"
33type "integer"
34value "7"
35pr "-- defined in fad_rs485_definitions.fad_rs485_constants"
36apr 0
37e "-- no. of bytes to receive"
38)
39(GiElement
40name "RX_WIDTH"
41type "integer"
42value "56"
43e "-- no. of bits to receive"
44)
45]
46mwi 0
47uid 49,0
48)
49(Instance
50name "U_1"
51duLibraryName "FACT_FAD_TB_lib"
52duName "FAD_rs485_receiver_tester"
53elements [
54]
55mwi 0
56uid 131,0
57)
58(Instance
59name "U_2"
60duLibraryName "FACT_FAD_TB_lib"
61duName "clock_generator"
62elements [
63(GiElement
64name "clock_period"
65type "time"
66value "50 ns"
67)
68(GiElement
69name "reset_time"
70type "time"
71value "50 ns"
72)
73]
74mwi 0
75uid 396,0
76)
77]
78libraryRefs [
79"ieee"
80"fact_fad_lib"
81]
82)
83version "29.1"
84appVersion "2009.1 (Build 12)"
85noEmbeddedEditors 1
86model (BlockDiag
87VExpander (VariableExpander
88vvMap [
89(vvPair
90variable "HDLDir"
91value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
92)
93(vvPair
94variable "HDSDir"
95value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
96)
97(vvPair
98variable "SideDataDesignDir"
99value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@f@a@d_rs485_receiver_tb\\struct.bd.info"
100)
101(vvPair
102variable "SideDataUserDir"
103value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@f@a@d_rs485_receiver_tb\\struct.bd.user"
104)
105(vvPair
106variable "SourceDir"
107value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
108)
109(vvPair
110variable "appl"
111value "HDL Designer"
112)
113(vvPair
114variable "arch_name"
115value "struct"
116)
117(vvPair
118variable "config"
119value "%(unit)_%(view)_config"
120)
121(vvPair
122variable "d"
123value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@f@a@d_rs485_receiver_tb"
124)
125(vvPair
126variable "d_logical"
127value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\FAD_rs485_receiver_tb"
128)
129(vvPair
130variable "date"
131value "10.06.2011"
132)
133(vvPair
134variable "day"
135value "Fr"
136)
137(vvPair
138variable "day_long"
139value "Freitag"
140)
141(vvPair
142variable "dd"
143value "10"
144)
145(vvPair
146variable "entity_name"
147value "FAD_rs485_receiver_tb"
148)
149(vvPair
150variable "ext"
151value "<TBD>"
152)
153(vvPair
154variable "f"
155value "struct.bd"
156)
157(vvPair
158variable "f_logical"
159value "struct.bd"
160)
161(vvPair
162variable "f_noext"
163value "struct"
164)
165(vvPair
166variable "group"
167value "UNKNOWN"
168)
169(vvPair
170variable "host"
171value "IHP110"
172)
173(vvPair
174variable "language"
175value "VHDL"
176)
177(vvPair
178variable "library"
179value "FACT_FAD_TB_lib"
180)
181(vvPair
182variable "library_downstream_HdsLintPlugin"
183value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
184)
185(vvPair
186variable "library_downstream_ISEPARInvoke"
187value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
188)
189(vvPair
190variable "library_downstream_ImpactInvoke"
191value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
192)
193(vvPair
194variable "library_downstream_ModelSimCompiler"
195value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
196)
197(vvPair
198variable "library_downstream_XSTDataPrep"
199value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
200)
201(vvPair
202variable "mm"
203value "06"
204)
205(vvPair
206variable "module_name"
207value "FAD_rs485_receiver_tb"
208)
209(vvPair
210variable "month"
211value "Jun"
212)
213(vvPair
214variable "month_long"
215value "Juni"
216)
217(vvPair
218variable "p"
219value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@f@a@d_rs485_receiver_tb\\struct.bd"
220)
221(vvPair
222variable "p_logical"
223value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\FAD_rs485_receiver_tb\\struct.bd"
224)
225(vvPair
226variable "package_name"
227value "<Undefined Variable>"
228)
229(vvPair
230variable "project_name"
231value "FACT_FAD"
232)
233(vvPair
234variable "series"
235value "HDL Designer Series"
236)
237(vvPair
238variable "task_DesignCompilerPath"
239value "<TBD>"
240)
241(vvPair
242variable "task_LeonardoPath"
243value "<TBD>"
244)
245(vvPair
246variable "task_ModelSimPath"
247value "D:\\modeltech_6.5e\\win32"
248)
249(vvPair
250variable "task_NC-SimPath"
251value "<TBD>"
252)
253(vvPair
254variable "task_PrecisionRTLPath"
255value "<TBD>"
256)
257(vvPair
258variable "task_QuestaSimPath"
259value "<TBD>"
260)
261(vvPair
262variable "task_VCSPath"
263value "<TBD>"
264)
265(vvPair
266variable "this_ext"
267value "bd"
268)
269(vvPair
270variable "this_file"
271value "struct"
272)
273(vvPair
274variable "this_file_logical"
275value "struct"
276)
277(vvPair
278variable "time"
279value "13:02:39"
280)
281(vvPair
282variable "unit"
283value "FAD_rs485_receiver_tb"
284)
285(vvPair
286variable "user"
287value "daqct3"
288)
289(vvPair
290variable "version"
291value "2009.1 (Build 12)"
292)
293(vvPair
294variable "view"
295value "struct"
296)
297(vvPair
298variable "year"
299value "2011"
300)
301(vvPair
302variable "yy"
303value "11"
304)
305]
306)
307LanguageMgr "VhdlLangMgr"
308uid 274,0
309optionalChildren [
310*1 (SaComponent
311uid 49,0
312optionalChildren [
313*2 (CptPort
314uid 13,0
315ps "OnEdgeStrategy"
316shape (Triangle
317uid 14,0
318ro 90
319va (VaSet
320vasetType 1
321fg "0,65535,0"
322)
323xt "-750,17625,0,18375"
324)
325tg (CPTG
326uid 15,0
327ps "CptPortTextPlaceStrategy"
328stg "VerticalLayoutStrategy"
329f (Text
330uid 16,0
331va (VaSet
332)
333xt "1000,17500,4200,18500"
334st "rec_clk"
335blo "1000,18300"
336)
337)
338thePort (LogicalPort
339decl (Decl
340n "rec_clk"
341t "std_logic"
342o 1
343)
344)
345)
346*3 (CptPort
347uid 17,0
348ps "OnEdgeStrategy"
349shape (Triangle
350uid 18,0
351ro 90
352va (VaSet
353vasetType 1
354fg "0,65535,0"
355)
356xt "-750,18625,0,19375"
357)
358tg (CPTG
359uid 19,0
360ps "CptPortTextPlaceStrategy"
361stg "VerticalLayoutStrategy"
362f (Text
363uid 20,0
364va (VaSet
365)
366xt "1000,18500,3300,19500"
367st "rx_d"
368blo "1000,19300"
369)
370)
371thePort (LogicalPort
372decl (Decl
373n "rx_d"
374t "std_logic"
375o 2
376)
377)
378)
379*4 (CptPort
380uid 21,0
381ps "OnEdgeStrategy"
382shape (Triangle
383uid 22,0
384ro 90
385va (VaSet
386vasetType 1
387fg "0,65535,0"
388)
389xt "20000,17625,20750,18375"
390)
391tg (CPTG
392uid 23,0
393ps "CptPortTextPlaceStrategy"
394stg "RightVerticalLayoutStrategy"
395f (Text
396uid 24,0
397va (VaSet
398)
399xt "16400,17500,19000,18500"
400st "rx_en"
401ju 2
402blo "19000,18300"
403)
404)
405thePort (LogicalPort
406m 1
407decl (Decl
408n "rx_en"
409t "std_logic"
410o 3
411)
412)
413)
414*5 (CptPort
415uid 25,0
416ps "OnEdgeStrategy"
417shape (Triangle
418uid 26,0
419ro 90
420va (VaSet
421vasetType 1
422fg "0,65535,0"
423)
424xt "20000,18625,20750,19375"
425)
426tg (CPTG
427uid 27,0
428ps "CptPortTextPlaceStrategy"
429stg "RightVerticalLayoutStrategy"
430f (Text
431uid 28,0
432va (VaSet
433)
434xt "16700,18500,19000,19500"
435st "tx_d"
436ju 2
437blo "19000,19300"
438)
439)
440thePort (LogicalPort
441m 1
442decl (Decl
443n "tx_d"
444t "std_logic"
445o 4
446)
447)
448)
449*6 (CptPort
450uid 29,0
451ps "OnEdgeStrategy"
452shape (Triangle
453uid 30,0
454ro 90
455va (VaSet
456vasetType 1
457fg "0,65535,0"
458)
459xt "20000,19625,20750,20375"
460)
461tg (CPTG
462uid 31,0
463ps "CptPortTextPlaceStrategy"
464stg "RightVerticalLayoutStrategy"
465f (Text
466uid 32,0
467va (VaSet
468)
469xt "16400,19500,19000,20500"
470st "tx_en"
471ju 2
472blo "19000,20300"
473)
474)
475thePort (LogicalPort
476m 1
477decl (Decl
478n "tx_en"
479t "std_logic"
480o 5
481)
482)
483)
484*7 (CptPort
485uid 33,0
486ps "OnEdgeStrategy"
487shape (Triangle
488uid 34,0
489ro 90
490va (VaSet
491vasetType 1
492fg "0,65535,0"
493)
494xt "-750,19625,0,20375"
495)
496tg (CPTG
497uid 35,0
498ps "CptPortTextPlaceStrategy"
499stg "VerticalLayoutStrategy"
500f (Text
501uid 36,0
502va (VaSet
503)
504xt "1000,19500,5100,20500"
505st "rec_start"
506blo "1000,20300"
507)
508)
509thePort (LogicalPort
510decl (Decl
511n "rec_start"
512t "std_logic"
513o 6
514)
515)
516)
517*8 (CptPort
518uid 37,0
519ps "OnEdgeStrategy"
520shape (Triangle
521uid 38,0
522ro 90
523va (VaSet
524vasetType 1
525fg "0,65535,0"
526)
527xt "20000,20625,20750,21375"
528)
529tg (CPTG
530uid 39,0
531ps "CptPortTextPlaceStrategy"
532stg "RightVerticalLayoutStrategy"
533f (Text
534uid 40,0
535va (VaSet
536)
537xt "10200,20500,19000,21500"
538st "rec_timeout_occured"
539ju 2
540blo "19000,21300"
541)
542)
543thePort (LogicalPort
544m 1
545decl (Decl
546n "rec_timeout_occured"
547t "std_logic"
548o 7
549i "'0'"
550)
551)
552)
553*9 (CptPort
554uid 41,0
555ps "OnEdgeStrategy"
556shape (Triangle
557uid 42,0
558ro 90
559va (VaSet
560vasetType 1
561fg "0,65535,0"
562)
563xt "20000,21625,20750,22375"
564)
565tg (CPTG
566uid 43,0
567ps "CptPortTextPlaceStrategy"
568stg "RightVerticalLayoutStrategy"
569f (Text
570uid 44,0
571va (VaSet
572)
573xt "6500,21500,19000,22500"
574st "rec_dout : (RX_WIDTH - 1:0)"
575ju 2
576blo "19000,22300"
577)
578)
579thePort (LogicalPort
580m 1
581decl (Decl
582n "rec_dout"
583t "std_logic_vector"
584b "(RX_WIDTH - 1 downto 0)"
585o 8
586i "(others => '0')"
587)
588)
589)
590*10 (CptPort
591uid 45,0
592ps "OnEdgeStrategy"
593shape (Triangle
594uid 46,0
595ro 90
596va (VaSet
597vasetType 1
598fg "0,65535,0"
599)
600xt "20000,22625,20750,23375"
601)
602tg (CPTG
603uid 47,0
604ps "CptPortTextPlaceStrategy"
605stg "RightVerticalLayoutStrategy"
606f (Text
607uid 48,0
608va (VaSet
609)
610xt "15200,22500,19000,23500"
611st "rec_valid"
612ju 2
613blo "19000,23300"
614)
615)
616thePort (LogicalPort
617m 1
618decl (Decl
619n "rec_valid"
620t "std_logic"
621o 9
622i "'0'"
623)
624)
625)
626]
627shape (Rectangle
628uid 50,0
629va (VaSet
630vasetType 1
631fg "0,65535,0"
632lineColor "0,32896,0"
633lineWidth 2
634)
635xt "0,17000,20000,24000"
636)
637ttg (MlTextGroup
638uid 51,0
639ps "CenterOffsetStrategy"
640stg "VerticalLayoutStrategy"
641textVec [
642*11 (Text
643uid 52,0
644va (VaSet
645font "Comic Sans MS,8,1"
646)
647xt "5500,24000,12300,25100"
648st "FACT_FAD_lib"
649blo "5500,24900"
650tm "BdLibraryNameMgr"
651)
652*12 (Text
653uid 53,0
654va (VaSet
655font "Comic Sans MS,8,1"
656)
657xt "5500,25100,14500,26200"
658st "FAD_rs485_receiver"
659blo "5500,26000"
660tm "CptNameMgr"
661)
662*13 (Text
663uid 54,0
664va (VaSet
665font "Comic Sans MS,8,1"
666)
667xt "5500,26200,7600,27300"
668st "U_0"
669blo "5500,27100"
670tm "InstanceNameMgr"
671)
672]
673)
674ga (GenericAssociation
675uid 55,0
676ps "EdgeToEdgeStrategy"
677matrix (Matrix
678uid 56,0
679text (MLText
680uid 57,0
681va (VaSet
682)
683xt "-2000,13000,21600,16000"
684st "-- defined in fad_rs485_definitions.fad_rs485_constants
685RX_BYTES = 7 ( integer ) -- no. of bytes to receive
686RX_WIDTH = 56 ( integer ) -- no. of bits to receive "
687)
688header ""
689)
690elements [
691(GiElement
692name "RX_BYTES"
693type "integer"
694value "7"
695pr "-- defined in fad_rs485_definitions.fad_rs485_constants"
696apr 0
697e "-- no. of bytes to receive"
698)
699(GiElement
700name "RX_WIDTH"
701type "integer"
702value "56"
703e "-- no. of bits to receive"
704)
705]
706)
707viewicon (ZoomableIcon
708uid 58,0
709sl 0
710va (VaSet
711vasetType 1
712fg "49152,49152,49152"
713)
714xt "250,22250,1750,23750"
715iconName "VhdlFileViewIcon.png"
716iconMaskName "VhdlFileViewIcon.msk"
717ftype 10
718)
719ordering 1
720viewiconposition 0
721portVis (PortSigDisplay
722)
723archType 1
724archFileType "UNKNOWN"
725)
726*14 (Net
727uid 59,0
728decl (Decl
729n "rx_en"
730t "std_logic"
731o 7
732suid 1,0
733)
734declText (MLText
735uid 60,0
736va (VaSet
737)
738xt "22000,9300,35700,10300"
739st "SIGNAL rx_en : std_logic"
740)
741)
742*15 (Net
743uid 67,0
744decl (Decl
745n "tx_d"
746t "std_logic"
747o 8
748suid 2,0
749)
750declText (MLText
751uid 68,0
752va (VaSet
753)
754xt "22000,10300,35600,11300"
755st "SIGNAL tx_d : std_logic"
756)
757)
758*16 (Net
759uid 75,0
760decl (Decl
761n "tx_en"
762t "std_logic"
763o 9
764suid 3,0
765)
766declText (MLText
767uid 76,0
768va (VaSet
769)
770xt "22000,11300,35700,12300"
771st "SIGNAL tx_en : std_logic"
772)
773)
774*17 (Net
775uid 83,0
776lang 10
777decl (Decl
778n "rec_timeout_occured"
779t "std_logic"
780o 4
781suid 4,0
782)
783declText (MLText
784uid 84,0
785va (VaSet
786)
787xt "22000,6300,38600,7300"
788st "SIGNAL rec_timeout_occured : std_logic"
789)
790)
791*18 (Net
792uid 91,0
793lang 10
794decl (Decl
795n "rec_dout"
796t "std_logic_vector"
797b "(RX_WIDTH - 1 DOWNTO 0)"
798o 2
799suid 5,0
800)
801declText (MLText
802uid 92,0
803va (VaSet
804)
805xt "22000,4300,50800,5300"
806st "SIGNAL rec_dout : std_logic_vector(RX_WIDTH - 1 DOWNTO 0)"
807)
808)
809*19 (Net
810uid 99,0
811lang 10
812decl (Decl
813n "rec_valid"
814t "std_logic"
815o 5
816suid 6,0
817)
818declText (MLText
819uid 100,0
820va (VaSet
821)
822xt "22000,7300,36100,8300"
823st "SIGNAL rec_valid : std_logic"
824)
825)
826*20 (Net
827uid 107,0
828decl (Decl
829n "rec_clk"
830t "std_logic"
831o 1
832suid 7,0
833)
834declText (MLText
835uid 108,0
836va (VaSet
837)
838xt "22000,3300,35900,4300"
839st "SIGNAL rec_clk : std_logic"
840)
841)
842*21 (Net
843uid 115,0
844decl (Decl
845n "rx_d"
846t "std_logic"
847o 6
848suid 8,0
849)
850declText (MLText
851uid 116,0
852va (VaSet
853)
854xt "22000,8300,35600,9300"
855st "SIGNAL rx_d : std_logic"
856)
857)
858*22 (Net
859uid 123,0
860decl (Decl
861n "rec_start"
862t "std_logic"
863o 3
864suid 9,0
865)
866declText (MLText
867uid 124,0
868va (VaSet
869)
870xt "22000,5300,36400,6300"
871st "SIGNAL rec_start : std_logic"
872)
873)
874*23 (Blk
875uid 131,0
876shape (Rectangle
877uid 132,0
878va (VaSet
879vasetType 1
880fg "39936,56832,65280"
881lineColor "0,0,32768"
882lineWidth 2
883)
884xt "-13000,33000,7000,40000"
885)
886oxt "47000,14000,67000,21000"
887ttg (MlTextGroup
888uid 133,0
889ps "CenterOffsetStrategy"
890stg "VerticalLayoutStrategy"
891textVec [
892*24 (Text
893uid 134,0
894va (VaSet
895font "Comic Sans MS,8,1"
896)
897xt "-7200,34850,1200,35950"
898st "FACT_FAD_TB_lib"
899blo "-7200,35750"
900tm "BdLibraryNameMgr"
901)
902*25 (Text
903uid 135,0
904va (VaSet
905font "Comic Sans MS,8,1"
906)
907xt "-7200,35950,5200,37050"
908st "FAD_rs485_receiver_tester"
909blo "-7200,36850"
910tm "BlkNameMgr"
911)
912*26 (Text
913uid 136,0
914va (VaSet
915font "Comic Sans MS,8,1"
916)
917xt "-7200,37050,-5100,38150"
918st "U_1"
919blo "-7200,37950"
920tm "InstanceNameMgr"
921)
922]
923)
924ga (GenericAssociation
925uid 137,0
926ps "EdgeToEdgeStrategy"
927matrix (Matrix
928uid 138,0
929text (MLText
930uid 139,0
931va (VaSet
932)
933xt "-7200,44850,-7200,44850"
934)
935header ""
936)
937elements [
938]
939)
940viewicon (ZoomableIcon
941uid 140,0
942sl 0
943va (VaSet
944vasetType 1
945fg "49152,49152,49152"
946)
947xt "-12750,38250,-11250,39750"
948iconName "VhdlFileViewIcon.png"
949iconMaskName "VhdlFileViewIcon.msk"
950ftype 10
951)
952ordering 1
953viewiconposition 0
954blkPorts [
955"rec_dout"
956"rec_timeout_occured"
957"rec_valid"
958"rx_en"
959"tx_d"
960"tx_en"
961"rec_start"
962"rx_d"
963]
964)
965*27 (Grouping
966uid 213,0
967optionalChildren [
968*28 (CommentText
969uid 215,0
970shape (Rectangle
971uid 216,0
972sl 0
973va (VaSet
974vasetType 1
975fg "65280,65280,46080"
976)
977xt "23000,50000,40000,51000"
978)
979oxt "18000,70000,35000,71000"
980text (MLText
981uid 217,0
982va (VaSet
983fg "0,0,32768"
984bg "0,0,32768"
985font "Arial,8,0"
986)
987xt "23200,50000,32800,51000"
988st "
989by %user on %dd %month %year
990"
991tm "CommentText"
992wrapOption 3
993visibleHeight 1000
994visibleWidth 17000
995)
996position 1
997ignorePrefs 1
998titleBlock 1
999)
1000*29 (CommentText
1001uid 218,0
1002shape (Rectangle
1003uid 219,0
1004sl 0
1005va (VaSet
1006vasetType 1
1007fg "65280,65280,46080"
1008)
1009xt "40000,46000,44000,47000"
1010)
1011oxt "35000,66000,39000,67000"
1012text (MLText
1013uid 220,0
1014va (VaSet
1015fg "0,0,32768"
1016bg "0,0,32768"
1017font "Arial,8,0"
1018)
1019xt "40200,46000,43200,47000"
1020st "
1021Project:
1022"
1023tm "CommentText"
1024wrapOption 3
1025visibleHeight 1000
1026visibleWidth 4000
1027)
1028position 1
1029ignorePrefs 1
1030titleBlock 1
1031)
1032*30 (CommentText
1033uid 221,0
1034shape (Rectangle
1035uid 222,0
1036sl 0
1037va (VaSet
1038vasetType 1
1039fg "65280,65280,46080"
1040)
1041xt "23000,48000,40000,49000"
1042)
1043oxt "18000,68000,35000,69000"
1044text (MLText
1045uid 223,0
1046va (VaSet
1047fg "0,0,32768"
1048bg "0,0,32768"
1049font "Arial,8,0"
1050)
1051xt "23200,48000,33200,49000"
1052st "
1053<enter diagram title here>
1054"
1055tm "CommentText"
1056wrapOption 3
1057visibleHeight 1000
1058visibleWidth 17000
1059)
1060position 1
1061ignorePrefs 1
1062titleBlock 1
1063)
1064*31 (CommentText
1065uid 224,0
1066shape (Rectangle
1067uid 225,0
1068sl 0
1069va (VaSet
1070vasetType 1
1071fg "65280,65280,46080"
1072)
1073xt "19000,48000,23000,49000"
1074)
1075oxt "14000,68000,18000,69000"
1076text (MLText
1077uid 226,0
1078va (VaSet
1079fg "0,0,32768"
1080bg "0,0,32768"
1081font "Arial,8,0"
1082)
1083xt "19200,48000,21300,49000"
1084st "
1085Title:
1086"
1087tm "CommentText"
1088wrapOption 3
1089visibleHeight 1000
1090visibleWidth 4000
1091)
1092position 1
1093ignorePrefs 1
1094titleBlock 1
1095)
1096*32 (CommentText
1097uid 227,0
1098shape (Rectangle
1099uid 228,0
1100sl 0
1101va (VaSet
1102vasetType 1
1103fg "65280,65280,46080"
1104)
1105xt "40000,47000,60000,51000"
1106)
1107oxt "35000,67000,55000,71000"
1108text (MLText
1109uid 229,0
1110va (VaSet
1111fg "0,0,32768"
1112bg "0,0,32768"
1113font "Arial,8,0"
1114)
1115xt "40200,47200,49400,48200"
1116st "
1117<enter comments here>
1118"
1119tm "CommentText"
1120wrapOption 3
1121visibleHeight 4000
1122visibleWidth 20000
1123)
1124ignorePrefs 1
1125titleBlock 1
1126)
1127*33 (CommentText
1128uid 230,0
1129shape (Rectangle
1130uid 231,0
1131sl 0
1132va (VaSet
1133vasetType 1
1134fg "65280,65280,46080"
1135)
1136xt "44000,46000,60000,47000"
1137)
1138oxt "39000,66000,55000,67000"
1139text (MLText
1140uid 232,0
1141va (VaSet
1142fg "0,0,32768"
1143bg "0,0,32768"
1144font "Arial,8,0"
1145)
1146xt "44200,46000,48700,47000"
1147st "
1148%project_name
1149"
1150tm "CommentText"
1151wrapOption 3
1152visibleHeight 1000
1153visibleWidth 16000
1154)
1155position 1
1156ignorePrefs 1
1157titleBlock 1
1158)
1159*34 (CommentText
1160uid 233,0
1161shape (Rectangle
1162uid 234,0
1163sl 0
1164va (VaSet
1165vasetType 1
1166fg "65280,65280,46080"
1167)
1168xt "19000,46000,40000,48000"
1169)
1170oxt "14000,66000,35000,68000"
1171text (MLText
1172uid 235,0
1173va (VaSet
1174fg "32768,0,0"
1175)
1176xt "26200,46500,32800,47500"
1177st "
1178<company name>
1179"
1180ju 0
1181tm "CommentText"
1182wrapOption 3
1183visibleHeight 2000
1184visibleWidth 21000
1185)
1186position 1
1187ignorePrefs 1
1188titleBlock 1
1189)
1190*35 (CommentText
1191uid 236,0
1192shape (Rectangle
1193uid 237,0
1194sl 0
1195va (VaSet
1196vasetType 1
1197fg "65280,65280,46080"
1198)
1199xt "19000,49000,23000,50000"
1200)
1201oxt "14000,69000,18000,70000"
1202text (MLText
1203uid 238,0
1204va (VaSet
1205fg "0,0,32768"
1206bg "0,0,32768"
1207font "Arial,8,0"
1208)
1209xt "19200,49000,21300,50000"
1210st "
1211Path:
1212"
1213tm "CommentText"
1214wrapOption 3
1215visibleHeight 1000
1216visibleWidth 4000
1217)
1218position 1
1219ignorePrefs 1
1220titleBlock 1
1221)
1222*36 (CommentText
1223uid 239,0
1224shape (Rectangle
1225uid 240,0
1226sl 0
1227va (VaSet
1228vasetType 1
1229fg "65280,65280,46080"
1230)
1231xt "19000,50000,23000,51000"
1232)
1233oxt "14000,70000,18000,71000"
1234text (MLText
1235uid 241,0
1236va (VaSet
1237fg "0,0,32768"
1238bg "0,0,32768"
1239font "Arial,8,0"
1240)
1241xt "19200,50000,21900,51000"
1242st "
1243Edited:
1244"
1245tm "CommentText"
1246wrapOption 3
1247visibleHeight 1000
1248visibleWidth 4000
1249)
1250position 1
1251ignorePrefs 1
1252titleBlock 1
1253)
1254*37 (CommentText
1255uid 242,0
1256shape (Rectangle
1257uid 243,0
1258sl 0
1259va (VaSet
1260vasetType 1
1261fg "65280,65280,46080"
1262)
1263xt "23000,49000,40000,50000"
1264)
1265oxt "18000,69000,35000,70000"
1266text (MLText
1267uid 244,0
1268va (VaSet
1269fg "0,0,32768"
1270bg "0,0,32768"
1271font "Arial,8,0"
1272)
1273xt "23200,49000,39500,50000"
1274st "
1275%library/%unit/%view
1276"
1277tm "CommentText"
1278wrapOption 3
1279visibleHeight 1000
1280visibleWidth 17000
1281)
1282position 1
1283ignorePrefs 1
1284titleBlock 1
1285)
1286]
1287shape (GroupingShape
1288uid 214,0
1289va (VaSet
1290vasetType 1
1291fg "65535,65535,65535"
1292lineStyle 2
1293lineWidth 2
1294)
1295xt "19000,46000,60000,51000"
1296)
1297oxt "14000,66000,55000,71000"
1298)
1299*38 (SaComponent
1300uid 396,0
1301optionalChildren [
1302*39 (CptPort
1303uid 385,0
1304ps "OnEdgeStrategy"
1305shape (Triangle
1306uid 386,0
1307ro 90
1308va (VaSet
1309vasetType 1
1310fg "0,65535,0"
1311)
1312xt "46000,37625,46750,38375"
1313)
1314tg (CPTG
1315uid 387,0
1316ps "CptPortTextPlaceStrategy"
1317stg "RightVerticalLayoutStrategy"
1318f (Text
1319uid 388,0
1320va (VaSet
1321)
1322xt "43500,37500,45000,38500"
1323st "clk"
1324ju 2
1325blo "45000,38300"
1326)
1327)
1328thePort (LogicalPort
1329m 1
1330decl (Decl
1331n "clk"
1332t "std_logic"
1333preAdd 0
1334posAdd 0
1335o 1
1336suid 1,0
1337i "'0'"
1338)
1339)
1340)
1341*40 (CptPort
1342uid 389,0
1343ps "OnEdgeStrategy"
1344shape (Triangle
1345uid 390,0
1346ro 90
1347va (VaSet
1348vasetType 1
1349fg "0,65535,0"
1350)
1351xt "46000,38625,46750,39375"
1352)
1353tg (CPTG
1354uid 391,0
1355ps "CptPortTextPlaceStrategy"
1356stg "RightVerticalLayoutStrategy"
1357f (Text
1358uid 392,0
1359va (VaSet
1360)
1361xt "43400,38500,45000,39500"
1362st "rst"
1363ju 2
1364blo "45000,39300"
1365)
1366)
1367thePort (LogicalPort
1368m 1
1369decl (Decl
1370n "rst"
1371t "std_logic"
1372preAdd 0
1373posAdd 0
1374o 2
1375suid 2,0
1376i "'0'"
1377)
1378)
1379)
1380]
1381shape (Rectangle
1382uid 397,0
1383va (VaSet
1384vasetType 1
1385fg "0,49152,49152"
1386lineColor "0,0,50000"
1387lineWidth 2
1388)
1389xt "38000,37000,46000,41000"
1390)
1391oxt "22000,15000,30000,19000"
1392ttg (MlTextGroup
1393uid 398,0
1394ps "CenterOffsetStrategy"
1395stg "VerticalLayoutStrategy"
1396textVec [
1397*41 (Text
1398uid 399,0
1399va (VaSet
1400font "Arial,8,1"
1401)
1402xt "38150,41000,45850,42000"
1403st "FACT_FAD_TB_lib"
1404blo "38150,41800"
1405tm "BdLibraryNameMgr"
1406)
1407*42 (Text
1408uid 400,0
1409va (VaSet
1410font "Arial,8,1"
1411)
1412xt "38150,42000,44850,43000"
1413st "clock_generator"
1414blo "38150,42800"
1415tm "CptNameMgr"
1416)
1417*43 (Text
1418uid 401,0
1419va (VaSet
1420font "Arial,8,1"
1421)
1422xt "38150,43000,39950,44000"
1423st "U_2"
1424blo "38150,43800"
1425tm "InstanceNameMgr"
1426)
1427]
1428)
1429ga (GenericAssociation
1430uid 402,0
1431ps "EdgeToEdgeStrategy"
1432matrix (Matrix
1433uid 403,0
1434text (MLText
1435uid 404,0
1436va (VaSet
1437font "Courier New,8,0"
1438)
1439xt "34000,34400,52500,36000"
1440st "clock_period = 50 ns ( time )
1441reset_time = 50 ns ( time ) "
1442)
1443header ""
1444)
1445elements [
1446(GiElement
1447name "clock_period"
1448type "time"
1449value "50 ns"
1450)
1451(GiElement
1452name "reset_time"
1453type "time"
1454value "50 ns"
1455)
1456]
1457)
1458viewicon (ZoomableIcon
1459uid 405,0
1460sl 0
1461va (VaSet
1462vasetType 1
1463fg "49152,49152,49152"
1464)
1465xt "38250,39250,39750,40750"
1466iconName "VhdlFileViewIcon.png"
1467iconMaskName "VhdlFileViewIcon.msk"
1468ftype 10
1469)
1470ordering 1
1471viewiconposition 0
1472portVis (PortSigDisplay
1473)
1474archFileType "UNKNOWN"
1475)
1476*44 (Wire
1477uid 61,0
1478shape (OrthoPolyLine
1479uid 62,0
1480va (VaSet
1481vasetType 3
1482)
1483xt "20750,18000,36000,18000"
1484pts [
1485"20750,18000"
1486"36000,18000"
1487]
1488)
1489start &4
1490sat 32
1491eat 16
1492st 0
1493sf 1
1494si 0
1495tg (WTG
1496uid 65,0
1497ps "ConnStartEndStrategy"
1498stg "STSignalDisplayStrategy"
1499f (Text
1500uid 66,0
1501va (VaSet
1502)
1503xt "22000,17000,24600,18000"
1504st "rx_en"
1505blo "22000,17800"
1506tm "WireNameMgr"
1507)
1508)
1509on &14
1510)
1511*45 (Wire
1512uid 69,0
1513shape (OrthoPolyLine
1514uid 70,0
1515va (VaSet
1516vasetType 3
1517)
1518xt "20750,19000,36000,19000"
1519pts [
1520"20750,19000"
1521"36000,19000"
1522]
1523)
1524start &5
1525sat 32
1526eat 16
1527st 0
1528sf 1
1529si 0
1530tg (WTG
1531uid 73,0
1532ps "ConnStartEndStrategy"
1533stg "STSignalDisplayStrategy"
1534f (Text
1535uid 74,0
1536va (VaSet
1537)
1538xt "22000,18000,24300,19000"
1539st "tx_d"
1540blo "22000,18800"
1541tm "WireNameMgr"
1542)
1543)
1544on &15
1545)
1546*46 (Wire
1547uid 77,0
1548shape (OrthoPolyLine
1549uid 78,0
1550va (VaSet
1551vasetType 3
1552)
1553xt "20750,20000,36000,20000"
1554pts [
1555"20750,20000"
1556"36000,20000"
1557]
1558)
1559start &6
1560sat 32
1561eat 16
1562st 0
1563sf 1
1564si 0
1565tg (WTG
1566uid 81,0
1567ps "ConnStartEndStrategy"
1568stg "STSignalDisplayStrategy"
1569f (Text
1570uid 82,0
1571va (VaSet
1572)
1573xt "22000,19000,24600,20000"
1574st "tx_en"
1575blo "22000,19800"
1576tm "WireNameMgr"
1577)
1578)
1579on &16
1580)
1581*47 (Wire
1582uid 85,0
1583shape (OrthoPolyLine
1584uid 86,0
1585va (VaSet
1586vasetType 3
1587)
1588xt "20750,21000,36000,21000"
1589pts [
1590"20750,21000"
1591"36000,21000"
1592]
1593)
1594start &8
1595sat 32
1596eat 16
1597st 0
1598sf 1
1599si 0
1600tg (WTG
1601uid 89,0
1602ps "ConnStartEndStrategy"
1603stg "STSignalDisplayStrategy"
1604f (Text
1605uid 90,0
1606va (VaSet
1607)
1608xt "22000,20000,30800,21000"
1609st "rec_timeout_occured"
1610blo "22000,20800"
1611tm "WireNameMgr"
1612)
1613)
1614on &17
1615)
1616*48 (Wire
1617uid 93,0
1618shape (OrthoPolyLine
1619uid 94,0
1620va (VaSet
1621vasetType 3
1622lineWidth 2
1623)
1624xt "20750,22000,36000,22000"
1625pts [
1626"20750,22000"
1627"36000,22000"
1628]
1629)
1630start &9
1631sat 32
1632eat 16
1633sty 1
1634st 0
1635sf 1
1636si 0
1637tg (WTG
1638uid 97,0
1639ps "ConnStartEndStrategy"
1640stg "STSignalDisplayStrategy"
1641f (Text
1642uid 98,0
1643va (VaSet
1644)
1645xt "22000,21000,34500,22000"
1646st "rec_dout : (RX_WIDTH - 1:0)"
1647blo "22000,21800"
1648tm "WireNameMgr"
1649)
1650)
1651on &18
1652)
1653*49 (Wire
1654uid 101,0
1655shape (OrthoPolyLine
1656uid 102,0
1657va (VaSet
1658vasetType 3
1659)
1660xt "20750,23000,36000,23000"
1661pts [
1662"20750,23000"
1663"36000,23000"
1664]
1665)
1666start &10
1667sat 32
1668eat 16
1669st 0
1670sf 1
1671si 0
1672tg (WTG
1673uid 105,0
1674ps "ConnStartEndStrategy"
1675stg "STSignalDisplayStrategy"
1676f (Text
1677uid 106,0
1678va (VaSet
1679)
1680xt "22000,22000,25800,23000"
1681st "rec_valid"
1682blo "22000,22800"
1683tm "WireNameMgr"
1684)
1685)
1686on &19
1687)
1688*50 (Wire
1689uid 109,0
1690shape (OrthoPolyLine
1691uid 110,0
1692va (VaSet
1693vasetType 3
1694)
1695xt "-7000,18000,-750,18000"
1696pts [
1697"-7000,18000"
1698"-750,18000"
1699]
1700)
1701end &2
1702sat 16
1703eat 32
1704st 0
1705sf 1
1706si 0
1707tg (WTG
1708uid 113,0
1709ps "ConnStartEndStrategy"
1710stg "STSignalDisplayStrategy"
1711f (Text
1712uid 114,0
1713va (VaSet
1714)
1715xt "-6000,17000,-2800,18000"
1716st "rec_clk"
1717blo "-6000,17800"
1718tm "WireNameMgr"
1719)
1720)
1721on &20
1722)
1723*51 (Wire
1724uid 117,0
1725shape (OrthoPolyLine
1726uid 118,0
1727va (VaSet
1728vasetType 3
1729)
1730xt "-7000,19000,-750,19000"
1731pts [
1732"-7000,19000"
1733"-750,19000"
1734]
1735)
1736end &3
1737sat 16
1738eat 32
1739st 0
1740sf 1
1741si 0
1742tg (WTG
1743uid 121,0
1744ps "ConnStartEndStrategy"
1745stg "STSignalDisplayStrategy"
1746f (Text
1747uid 122,0
1748va (VaSet
1749)
1750xt "-6000,18000,-3700,19000"
1751st "rx_d"
1752blo "-6000,18800"
1753tm "WireNameMgr"
1754)
1755)
1756on &21
1757)
1758*52 (Wire
1759uid 125,0
1760shape (OrthoPolyLine
1761uid 126,0
1762va (VaSet
1763vasetType 3
1764)
1765xt "-7000,20000,-750,20000"
1766pts [
1767"-7000,20000"
1768"-750,20000"
1769]
1770)
1771end &7
1772sat 16
1773eat 32
1774st 0
1775sf 1
1776si 0
1777tg (WTG
1778uid 129,0
1779ps "ConnStartEndStrategy"
1780stg "STSignalDisplayStrategy"
1781f (Text
1782uid 130,0
1783va (VaSet
1784)
1785xt "-6000,19000,-1900,20000"
1786st "rec_start"
1787blo "-6000,19800"
1788tm "WireNameMgr"
1789)
1790)
1791on &22
1792)
1793*53 (Wire
1794uid 141,0
1795shape (OrthoPolyLine
1796uid 142,0
1797va (VaSet
1798vasetType 3
1799)
1800xt "-20000,36000,-13000,36000"
1801pts [
1802"-20000,36000"
1803"-13000,36000"
1804]
1805)
1806end &23
1807sat 16
1808eat 2
1809st 0
1810sf 1
1811si 0
1812tg (WTG
1813uid 147,0
1814ps "ConnStartEndStrategy"
1815stg "STSignalDisplayStrategy"
1816f (Text
1817uid 148,0
1818va (VaSet
1819)
1820xt "-19000,35000,-14900,36000"
1821st "rec_start"
1822blo "-19000,35800"
1823tm "WireNameMgr"
1824)
1825)
1826on &22
1827)
1828*54 (Wire
1829uid 149,0
1830shape (OrthoPolyLine
1831uid 150,0
1832va (VaSet
1833vasetType 3
1834)
1835xt "46750,38000,53000,38000"
1836pts [
1837"46750,38000"
1838"53000,38000"
1839]
1840)
1841start &39
1842sat 32
1843eat 16
1844st 0
1845sf 1
1846si 0
1847tg (WTG
1848uid 155,0
1849ps "ConnStartEndStrategy"
1850stg "STSignalDisplayStrategy"
1851f (Text
1852uid 156,0
1853va (VaSet
1854)
1855xt "48000,37000,51200,38000"
1856st "rec_clk"
1857blo "48000,37800"
1858tm "WireNameMgr"
1859)
1860)
1861on &20
1862)
1863*55 (Wire
1864uid 157,0
1865shape (OrthoPolyLine
1866uid 158,0
1867va (VaSet
1868vasetType 3
1869)
1870xt "7000,35000,23000,35000"
1871pts [
1872"7000,35000"
1873"23000,35000"
1874]
1875)
1876start &23
1877sat 1
1878eat 16
1879st 0
1880sf 1
1881si 0
1882tg (WTG
1883uid 163,0
1884ps "ConnStartEndStrategy"
1885stg "STSignalDisplayStrategy"
1886f (Text
1887uid 164,0
1888va (VaSet
1889)
1890xt "8000,34000,10300,35000"
1891st "tx_d"
1892blo "8000,34800"
1893tm "WireNameMgr"
1894)
1895)
1896on &15
1897)
1898*56 (Wire
1899uid 165,0
1900shape (OrthoPolyLine
1901uid 166,0
1902va (VaSet
1903vasetType 3
1904lineWidth 2
1905)
1906xt "7000,38000,23000,38000"
1907pts [
1908"7000,38000"
1909"23000,38000"
1910]
1911)
1912start &23
1913sat 1
1914eat 16
1915sty 1
1916st 0
1917sf 1
1918si 0
1919tg (WTG
1920uid 171,0
1921ps "ConnStartEndStrategy"
1922stg "STSignalDisplayStrategy"
1923f (Text
1924uid 172,0
1925va (VaSet
1926)
1927xt "8000,37000,20500,38000"
1928st "rec_dout : (RX_WIDTH - 1:0)"
1929blo "8000,37800"
1930tm "WireNameMgr"
1931)
1932)
1933on &18
1934)
1935*57 (Wire
1936uid 173,0
1937shape (OrthoPolyLine
1938uid 174,0
1939va (VaSet
1940vasetType 3
1941)
1942xt "7000,37000,23000,37000"
1943pts [
1944"7000,37000"
1945"23000,37000"
1946]
1947)
1948start &23
1949sat 1
1950eat 16
1951st 0
1952sf 1
1953si 0
1954tg (WTG
1955uid 179,0
1956ps "ConnStartEndStrategy"
1957stg "STSignalDisplayStrategy"
1958f (Text
1959uid 180,0
1960va (VaSet
1961)
1962xt "8000,36000,16800,37000"
1963st "rec_timeout_occured"
1964blo "8000,36800"
1965tm "WireNameMgr"
1966)
1967)
1968on &17
1969)
1970*58 (Wire
1971uid 181,0
1972shape (OrthoPolyLine
1973uid 182,0
1974va (VaSet
1975vasetType 3
1976)
1977xt "7000,36000,23000,36000"
1978pts [
1979"7000,36000"
1980"23000,36000"
1981]
1982)
1983start &23
1984sat 1
1985eat 16
1986st 0
1987sf 1
1988si 0
1989tg (WTG
1990uid 187,0
1991ps "ConnStartEndStrategy"
1992stg "STSignalDisplayStrategy"
1993f (Text
1994uid 188,0
1995va (VaSet
1996)
1997xt "8000,35000,10600,36000"
1998st "tx_en"
1999blo "8000,35800"
2000tm "WireNameMgr"
2001)
2002)
2003on &16
2004)
2005*59 (Wire
2006uid 189,0
2007shape (OrthoPolyLine
2008uid 190,0
2009va (VaSet
2010vasetType 3
2011)
2012xt "7000,34000,23000,34000"
2013pts [
2014"7000,34000"
2015"23000,34000"
2016]
2017)
2018start &23
2019sat 1
2020eat 16
2021st 0
2022sf 1
2023si 0
2024tg (WTG
2025uid 195,0
2026ps "ConnStartEndStrategy"
2027stg "STSignalDisplayStrategy"
2028f (Text
2029uid 196,0
2030va (VaSet
2031)
2032xt "8000,33000,10600,34000"
2033st "rx_en"
2034blo "8000,33800"
2035tm "WireNameMgr"
2036)
2037)
2038on &14
2039)
2040*60 (Wire
2041uid 197,0
2042shape (OrthoPolyLine
2043uid 198,0
2044va (VaSet
2045vasetType 3
2046)
2047xt "-20000,35000,-13000,35000"
2048pts [
2049"-20000,35000"
2050"-13000,35000"
2051]
2052)
2053end &23
2054sat 16
2055eat 2
2056st 0
2057sf 1
2058si 0
2059tg (WTG
2060uid 203,0
2061ps "ConnStartEndStrategy"
2062stg "STSignalDisplayStrategy"
2063f (Text
2064uid 204,0
2065va (VaSet
2066)
2067xt "-19000,34000,-16700,35000"
2068st "rx_d"
2069blo "-19000,34800"
2070tm "WireNameMgr"
2071)
2072)
2073on &21
2074)
2075*61 (Wire
2076uid 205,0
2077shape (OrthoPolyLine
2078uid 206,0
2079va (VaSet
2080vasetType 3
2081)
2082xt "7000,39000,23000,39000"
2083pts [
2084"7000,39000"
2085"23000,39000"
2086]
2087)
2088start &23
2089sat 1
2090eat 16
2091st 0
2092sf 1
2093si 0
2094tg (WTG
2095uid 211,0
2096ps "ConnStartEndStrategy"
2097stg "STSignalDisplayStrategy"
2098f (Text
2099uid 212,0
2100va (VaSet
2101)
2102xt "8000,38000,11800,39000"
2103st "rec_valid"
2104blo "8000,38800"
2105tm "WireNameMgr"
2106)
2107)
2108on &19
2109)
2110]
2111bg "65535,65535,65535"
2112grid (Grid
2113origin "0,0"
2114isVisible 1
2115isActive 1
2116xSpacing 1000
2117xySpacing 1000
2118xShown 1
2119yShown 1
2120color "26368,26368,26368"
2121)
2122packageList *62 (PackageList
2123uid 263,0
2124stg "VerticalLayoutStrategy"
2125textVec [
2126*63 (Text
2127uid 264,0
2128va (VaSet
2129font "arial,8,1"
2130)
2131xt "0,0,5400,1000"
2132st "Package List"
2133blo "0,800"
2134)
2135*64 (MLText
2136uid 265,0
2137va (VaSet
2138)
2139xt "0,1000,18800,7000"
2140st "LIBRARY ieee;
2141USE ieee.std_logic_1164.ALL;
2142USE ieee.std_logic_arith.ALL;
2143LIBRARY fact_fad_lib;
2144USE fact_fad_lib.fad_rs485_constants.ALL;
2145USE ieee.std_logic_unsigned.all;"
2146tm "PackageList"
2147)
2148]
2149)
2150compDirBlock (MlTextGroup
2151uid 266,0
2152stg "VerticalLayoutStrategy"
2153textVec [
2154*65 (Text
2155uid 267,0
2156va (VaSet
2157isHidden 1
2158font "Comic Sans MS,8,1"
2159)
2160xt "20000,0,28200,1100"
2161st "Compiler Directives"
2162blo "20000,900"
2163)
2164*66 (Text
2165uid 268,0
2166va (VaSet
2167isHidden 1
2168font "Comic Sans MS,8,1"
2169)
2170xt "20000,1100,30000,2200"
2171st "Pre-module directives:"
2172blo "20000,2000"
2173)
2174*67 (MLText
2175uid 269,0
2176va (VaSet
2177isHidden 1
2178)
2179xt "20000,2200,28200,4200"
2180st "`resetall
2181`timescale 1ns/10ps"
2182tm "BdCompilerDirectivesTextMgr"
2183)
2184*68 (Text
2185uid 270,0
2186va (VaSet
2187isHidden 1
2188font "Comic Sans MS,8,1"
2189)
2190xt "20000,4200,30400,5300"
2191st "Post-module directives:"
2192blo "20000,5100"
2193)
2194*69 (MLText
2195uid 271,0
2196va (VaSet
2197isHidden 1
2198)
2199xt "20000,0,20000,0"
2200tm "BdCompilerDirectivesTextMgr"
2201)
2202*70 (Text
2203uid 272,0
2204va (VaSet
2205isHidden 1
2206font "Comic Sans MS,8,1"
2207)
2208xt "20000,5300,30200,6400"
2209st "End-module directives:"
2210blo "20000,6200"
2211)
2212*71 (MLText
2213uid 273,0
2214va (VaSet
2215isHidden 1
2216)
2217xt "20000,6400,20000,6400"
2218tm "BdCompilerDirectivesTextMgr"
2219)
2220]
2221associable 1
2222)
2223windowSize "0,0,1681,1028"
2224viewArea "-28455,-773,77540,65492"
2225cachedDiagramExtent "-20400,0,60000,51000"
2226hasePageBreakOrigin 1
2227pageBreakOrigin "-8000,0"
2228lastUid 480,0
2229defaultCommentText (CommentText
2230shape (Rectangle
2231layer 0
2232va (VaSet
2233vasetType 1
2234fg "65280,65280,46080"
2235lineColor "0,0,32768"
2236)
2237xt "0,0,15000,5000"
2238)
2239text (MLText
2240va (VaSet
2241fg "0,0,32768"
2242)
2243xt "200,200,2400,1200"
2244st "
2245Text
2246"
2247tm "CommentText"
2248wrapOption 3
2249visibleHeight 4600
2250visibleWidth 14600
2251)
2252)
2253defaultPanel (Panel
2254shape (RectFrame
2255va (VaSet
2256vasetType 1
2257fg "65535,65535,65535"
2258lineColor "32768,0,0"
2259lineWidth 3
2260)
2261xt "0,0,20000,20000"
2262)
2263title (TextAssociate
2264ps "TopLeftStrategy"
2265text (Text
2266va (VaSet
2267font "Comic Sans MS,8,1"
2268)
2269xt "1000,1000,3800,2100"
2270st "Panel0"
2271blo "1000,1900"
2272tm "PanelText"
2273)
2274)
2275)
2276defaultBlk (Blk
2277shape (Rectangle
2278va (VaSet
2279vasetType 1
2280fg "39936,56832,65280"
2281lineColor "0,0,32768"
2282lineWidth 2
2283)
2284xt "0,0,8000,10000"
2285)
2286ttg (MlTextGroup
2287ps "CenterOffsetStrategy"
2288stg "VerticalLayoutStrategy"
2289textVec [
2290*72 (Text
2291va (VaSet
2292font "Comic Sans MS,8,1"
2293)
2294xt "2000,3350,6000,4450"
2295st "<library>"
2296blo "2000,4250"
2297tm "BdLibraryNameMgr"
2298)
2299*73 (Text
2300va (VaSet
2301font "Comic Sans MS,8,1"
2302)
2303xt "2000,4450,5400,5550"
2304st "<block>"
2305blo "2000,5350"
2306tm "BlkNameMgr"
2307)
2308*74 (Text
2309va (VaSet
2310font "Comic Sans MS,8,1"
2311)
2312xt "2000,5550,4100,6650"
2313st "U_0"
2314blo "2000,6450"
2315tm "InstanceNameMgr"
2316)
2317]
2318)
2319ga (GenericAssociation
2320ps "EdgeToEdgeStrategy"
2321matrix (Matrix
2322text (MLText
2323va (VaSet
2324)
2325xt "2000,13350,2000,13350"
2326)
2327header ""
2328)
2329elements [
2330]
2331)
2332viewicon (ZoomableIcon
2333sl 0
2334va (VaSet
2335vasetType 1
2336fg "49152,49152,49152"
2337)
2338xt "0,0,1500,1500"
2339iconName "UnknownFile.png"
2340iconMaskName "UnknownFile.msk"
2341)
2342viewiconposition 0
2343)
2344defaultMWComponent (MWC
2345shape (Rectangle
2346va (VaSet
2347vasetType 1
2348fg "0,65535,0"
2349lineColor "0,32896,0"
2350lineWidth 2
2351)
2352xt "0,0,8000,10000"
2353)
2354ttg (MlTextGroup
2355ps "CenterOffsetStrategy"
2356stg "VerticalLayoutStrategy"
2357textVec [
2358*75 (Text
2359va (VaSet
2360font "Comic Sans MS,8,1"
2361)
2362xt "800,3350,4000,4450"
2363st "Library"
2364blo "800,4250"
2365)
2366*76 (Text
2367va (VaSet
2368font "Comic Sans MS,8,1"
2369)
2370xt "800,4450,7200,5550"
2371st "MWComponent"
2372blo "800,5350"
2373)
2374*77 (Text
2375va (VaSet
2376font "Comic Sans MS,8,1"
2377)
2378xt "800,5550,2900,6650"
2379st "U_0"
2380blo "800,6450"
2381tm "InstanceNameMgr"
2382)
2383]
2384)
2385ga (GenericAssociation
2386ps "EdgeToEdgeStrategy"
2387matrix (Matrix
2388text (MLText
2389va (VaSet
2390)
2391xt "-6200,1350,-6200,1350"
2392)
2393header ""
2394)
2395elements [
2396]
2397)
2398portVis (PortSigDisplay
2399)
2400prms (Property
2401pclass "params"
2402pname "params"
2403ptn "String"
2404)
2405visOptions (mwParamsVisibilityOptions
2406)
2407)
2408defaultSaComponent (SaComponent
2409shape (Rectangle
2410va (VaSet
2411vasetType 1
2412fg "0,65535,0"
2413lineColor "0,32896,0"
2414lineWidth 2
2415)
2416xt "0,0,8000,10000"
2417)
2418ttg (MlTextGroup
2419ps "CenterOffsetStrategy"
2420stg "VerticalLayoutStrategy"
2421textVec [
2422*78 (Text
2423va (VaSet
2424font "Comic Sans MS,8,1"
2425)
2426xt "1050,3350,4250,4450"
2427st "Library"
2428blo "1050,4250"
2429tm "BdLibraryNameMgr"
2430)
2431*79 (Text
2432va (VaSet
2433font "Comic Sans MS,8,1"
2434)
2435xt "1050,4450,6950,5550"
2436st "SaComponent"
2437blo "1050,5350"
2438tm "CptNameMgr"
2439)
2440*80 (Text
2441va (VaSet
2442font "Comic Sans MS,8,1"
2443)
2444xt "1050,5550,3150,6650"
2445st "U_0"
2446blo "1050,6450"
2447tm "InstanceNameMgr"
2448)
2449]
2450)
2451ga (GenericAssociation
2452ps "EdgeToEdgeStrategy"
2453matrix (Matrix
2454text (MLText
2455va (VaSet
2456)
2457xt "-5950,1350,-5950,1350"
2458)
2459header ""
2460)
2461elements [
2462]
2463)
2464viewicon (ZoomableIcon
2465sl 0
2466va (VaSet
2467vasetType 1
2468fg "49152,49152,49152"
2469)
2470xt "0,0,1500,1500"
2471iconName "UnknownFile.png"
2472iconMaskName "UnknownFile.msk"
2473)
2474viewiconposition 0
2475portVis (PortSigDisplay
2476)
2477archFileType "UNKNOWN"
2478)
2479defaultVhdlComponent (VhdlComponent
2480shape (Rectangle
2481va (VaSet
2482vasetType 1
2483fg "0,65535,0"
2484lineColor "0,32896,0"
2485lineWidth 2
2486)
2487xt "0,0,8000,10000"
2488)
2489ttg (MlTextGroup
2490ps "CenterOffsetStrategy"
2491stg "VerticalLayoutStrategy"
2492textVec [
2493*81 (Text
2494va (VaSet
2495font "Comic Sans MS,8,1"
2496)
2497xt "700,3350,3900,4450"
2498st "Library"
2499blo "700,4250"
2500)
2501*82 (Text
2502va (VaSet
2503font "Comic Sans MS,8,1"
2504)
2505xt "700,4450,7300,5550"
2506st "VhdlComponent"
2507blo "700,5350"
2508)
2509*83 (Text
2510va (VaSet
2511font "Comic Sans MS,8,1"
2512)
2513xt "700,5550,2800,6650"
2514st "U_0"
2515blo "700,6450"
2516tm "InstanceNameMgr"
2517)
2518]
2519)
2520ga (GenericAssociation
2521ps "EdgeToEdgeStrategy"
2522matrix (Matrix
2523text (MLText
2524va (VaSet
2525)
2526xt "-6300,1350,-6300,1350"
2527)
2528header ""
2529)
2530elements [
2531]
2532)
2533portVis (PortSigDisplay
2534)
2535entityPath ""
2536archName ""
2537archPath ""
2538)
2539defaultVerilogComponent (VerilogComponent
2540shape (Rectangle
2541va (VaSet
2542vasetType 1
2543fg "0,65535,0"
2544lineColor "0,32896,0"
2545lineWidth 2
2546)
2547xt "-200,0,8200,10000"
2548)
2549ttg (MlTextGroup
2550ps "CenterOffsetStrategy"
2551stg "VerticalLayoutStrategy"
2552textVec [
2553*84 (Text
2554va (VaSet
2555font "Comic Sans MS,8,1"
2556)
2557xt "300,3350,3500,4450"
2558st "Library"
2559blo "300,4250"
2560)
2561*85 (Text
2562va (VaSet
2563font "Comic Sans MS,8,1"
2564)
2565xt "300,4450,7700,5550"
2566st "VerilogComponent"
2567blo "300,5350"
2568)
2569*86 (Text
2570va (VaSet
2571font "Comic Sans MS,8,1"
2572)
2573xt "300,5550,2400,6650"
2574st "U_0"
2575blo "300,6450"
2576tm "InstanceNameMgr"
2577)
2578]
2579)
2580ga (GenericAssociation
2581ps "EdgeToEdgeStrategy"
2582matrix (Matrix
2583text (MLText
2584va (VaSet
2585)
2586xt "-6700,1350,-6700,1350"
2587)
2588header ""
2589)
2590elements [
2591]
2592)
2593entityPath ""
2594)
2595defaultHdlText (HdlText
2596shape (Rectangle
2597va (VaSet
2598vasetType 1
2599fg "65535,65535,37120"
2600lineColor "0,0,32768"
2601lineWidth 2
2602)
2603xt "0,0,8000,10000"
2604)
2605ttg (MlTextGroup
2606ps "CenterOffsetStrategy"
2607stg "VerticalLayoutStrategy"
2608textVec [
2609*87 (Text
2610va (VaSet
2611font "Comic Sans MS,8,1"
2612)
2613xt "3050,3900,4950,5000"
2614st "eb1"
2615blo "3050,4800"
2616tm "HdlTextNameMgr"
2617)
2618*88 (Text
2619va (VaSet
2620font "Comic Sans MS,8,1"
2621)
2622xt "3050,5000,4050,6100"
2623st "1"
2624blo "3050,5900"
2625tm "HdlTextNumberMgr"
2626)
2627]
2628)
2629viewicon (ZoomableIcon
2630sl 0
2631va (VaSet
2632vasetType 1
2633fg "49152,49152,49152"
2634)
2635xt "0,0,1500,1500"
2636iconName "UnknownFile.png"
2637iconMaskName "UnknownFile.msk"
2638)
2639viewiconposition 0
2640)
2641defaultEmbeddedText (EmbeddedText
2642commentText (CommentText
2643ps "CenterOffsetStrategy"
2644shape (Rectangle
2645va (VaSet
2646vasetType 1
2647fg "65535,65535,65535"
2648lineColor "0,0,32768"
2649lineWidth 2
2650)
2651xt "0,0,18000,5000"
2652)
2653text (MLText
2654va (VaSet
2655)
2656xt "200,200,2400,1200"
2657st "
2658Text
2659"
2660tm "HdlTextMgr"
2661wrapOption 3
2662visibleHeight 4600
2663visibleWidth 17600
2664)
2665)
2666)
2667defaultGlobalConnector (GlobalConnector
2668shape (Circle
2669va (VaSet
2670vasetType 1
2671fg "65535,65535,0"
2672)
2673xt "-1000,-1000,1000,1000"
2674radius 1000
2675)
2676name (Text
2677va (VaSet
2678font "Comic Sans MS,8,1"
2679)
2680xt "-500,-550,500,550"
2681st "G"
2682blo "-500,350"
2683)
2684)
2685defaultRipper (Ripper
2686ps "OnConnectorStrategy"
2687shape (Line2D
2688pts [
2689"0,0"
2690"1000,1000"
2691]
2692va (VaSet
2693vasetType 1
2694)
2695xt "0,0,1000,1000"
2696)
2697)
2698defaultBdJunction (BdJunction
2699ps "OnConnectorStrategy"
2700shape (Circle
2701va (VaSet
2702vasetType 1
2703)
2704xt "-400,-400,400,400"
2705radius 400
2706)
2707)
2708defaultPortIoIn (PortIoIn
2709shape (CompositeShape
2710va (VaSet
2711vasetType 1
2712fg "0,0,32768"
2713)
2714optionalChildren [
2715(Pentagon
2716sl 0
2717ro 270
2718xt "-2000,-375,-500,375"
2719)
2720(Line
2721sl 0
2722ro 270
2723xt "-500,0,0,0"
2724pts [
2725"-500,0"
2726"0,0"
2727]
2728)
2729]
2730)
2731stc 0
2732sf 1
2733tg (WTG
2734ps "PortIoTextPlaceStrategy"
2735stg "STSignalDisplayStrategy"
2736f (Text
2737va (VaSet
2738)
2739xt "-1375,-1000,-1375,-1000"
2740ju 2
2741blo "-1375,-1000"
2742tm "WireNameMgr"
2743)
2744)
2745)
2746defaultPortIoOut (PortIoOut
2747shape (CompositeShape
2748va (VaSet
2749vasetType 1
2750fg "0,0,32768"
2751)
2752optionalChildren [
2753(Pentagon
2754sl 0
2755ro 270
2756xt "500,-375,2000,375"
2757)
2758(Line
2759sl 0
2760ro 270
2761xt "0,0,500,0"
2762pts [
2763"0,0"
2764"500,0"
2765]
2766)
2767]
2768)
2769stc 0
2770sf 1
2771tg (WTG
2772ps "PortIoTextPlaceStrategy"
2773stg "STSignalDisplayStrategy"
2774f (Text
2775va (VaSet
2776)
2777xt "625,-1000,625,-1000"
2778blo "625,-1000"
2779tm "WireNameMgr"
2780)
2781)
2782)
2783defaultPortIoInOut (PortIoInOut
2784shape (CompositeShape
2785va (VaSet
2786vasetType 1
2787fg "0,0,32768"
2788)
2789optionalChildren [
2790(Hexagon
2791sl 0
2792xt "500,-375,2000,375"
2793)
2794(Line
2795sl 0
2796xt "0,0,500,0"
2797pts [
2798"0,0"
2799"500,0"
2800]
2801)
2802]
2803)
2804stc 0
2805sf 1
2806tg (WTG
2807ps "PortIoTextPlaceStrategy"
2808stg "STSignalDisplayStrategy"
2809f (Text
2810va (VaSet
2811)
2812xt "0,-375,0,-375"
2813blo "0,-375"
2814tm "WireNameMgr"
2815)
2816)
2817)
2818defaultPortIoBuffer (PortIoBuffer
2819shape (CompositeShape
2820va (VaSet
2821vasetType 1
2822fg "65535,65535,65535"
2823lineColor "0,0,32768"
2824)
2825optionalChildren [
2826(Hexagon
2827sl 0
2828xt "500,-375,2000,375"
2829)
2830(Line
2831sl 0
2832xt "0,0,500,0"
2833pts [
2834"0,0"
2835"500,0"
2836]
2837)
2838]
2839)
2840stc 0
2841sf 1
2842tg (WTG
2843ps "PortIoTextPlaceStrategy"
2844stg "STSignalDisplayStrategy"
2845f (Text
2846va (VaSet
2847)
2848xt "0,-375,0,-375"
2849blo "0,-375"
2850tm "WireNameMgr"
2851)
2852)
2853)
2854defaultSignal (Wire
2855shape (OrthoPolyLine
2856va (VaSet
2857vasetType 3
2858)
2859pts [
2860"0,0"
2861"0,0"
2862]
2863)
2864ss 0
2865es 0
2866sat 32
2867eat 32
2868st 0
2869sf 1
2870si 0
2871tg (WTG
2872ps "ConnStartEndStrategy"
2873stg "STSignalDisplayStrategy"
2874f (Text
2875va (VaSet
2876)
2877xt "0,0,1900,1000"
2878st "sig0"
2879blo "0,800"
2880tm "WireNameMgr"
2881)
2882)
2883)
2884defaultBus (Wire
2885shape (OrthoPolyLine
2886va (VaSet
2887vasetType 3
2888lineWidth 2
2889)
2890pts [
2891"0,0"
2892"0,0"
2893]
2894)
2895ss 0
2896es 0
2897sat 32
2898eat 32
2899sty 1
2900st 0
2901sf 1
2902si 0
2903tg (WTG
2904ps "ConnStartEndStrategy"
2905stg "STSignalDisplayStrategy"
2906f (Text
2907va (VaSet
2908)
2909xt "0,0,2700,1000"
2910st "dbus0"
2911blo "0,800"
2912tm "WireNameMgr"
2913)
2914)
2915)
2916defaultBundle (Bundle
2917shape (OrthoPolyLine
2918va (VaSet
2919vasetType 3
2920lineColor "32768,0,0"
2921lineWidth 2
2922)
2923pts [
2924"0,0"
2925"0,0"
2926]
2927)
2928ss 0
2929es 0
2930sat 32
2931eat 32
2932textGroup (BiTextGroup
2933ps "ConnStartEndStrategy"
2934stg "VerticalLayoutStrategy"
2935first (Text
2936va (VaSet
2937)
2938xt "0,0,3300,1000"
2939st "bundle0"
2940blo "0,800"
2941tm "BundleNameMgr"
2942)
2943second (MLText
2944va (VaSet
2945)
2946xt "0,1000,1000,2000"
2947st "()"
2948tm "BundleContentsMgr"
2949)
2950)
2951bundleNet &0
2952)
2953defaultPortMapFrame (PortMapFrame
2954ps "PortMapFrameStrategy"
2955shape (RectFrame
2956va (VaSet
2957vasetType 1
2958fg "65535,65535,65535"
2959lineColor "0,0,32768"
2960lineWidth 2
2961)
2962xt "0,0,10000,12000"
2963)
2964portMapText (BiTextGroup
2965ps "BottomRightOffsetStrategy"
2966stg "VerticalLayoutStrategy"
2967first (MLText
2968va (VaSet
2969)
2970)
2971second (MLText
2972va (VaSet
2973)
2974tm "PortMapTextMgr"
2975)
2976)
2977)
2978defaultGenFrame (Frame
2979shape (RectFrame
2980va (VaSet
2981vasetType 1
2982fg "65535,65535,65535"
2983lineColor "26368,26368,26368"
2984lineStyle 2
2985lineWidth 3
2986)
2987xt "0,0,20000,20000"
2988)
2989title (TextAssociate
2990ps "TopLeftStrategy"
2991text (MLText
2992va (VaSet
2993)
2994xt "0,-1100,12900,-100"
2995st "g0: FOR i IN 0 TO n GENERATE"
2996tm "FrameTitleTextMgr"
2997)
2998)
2999seqNum (FrameSequenceNumber
3000ps "TopLeftStrategy"
3001shape (Rectangle
3002va (VaSet
3003vasetType 1
3004fg "65535,65535,65535"
3005)
3006xt "50,50,1250,1450"
3007)
3008num (Text
3009va (VaSet
3010)
3011xt "250,250,1050,1250"
3012st "1"
3013blo "250,1050"
3014tm "FrameSeqNumMgr"
3015)
3016)
3017decls (MlTextGroup
3018ps "BottomRightOffsetStrategy"
3019stg "VerticalLayoutStrategy"
3020textVec [
3021*89 (Text
3022va (VaSet
3023font "Comic Sans MS,8,1"
3024)
3025xt "13800,20000,22000,21100"
3026st "Frame Declarations"
3027blo "13800,20900"
3028)
3029*90 (MLText
3030va (VaSet
3031)
3032xt "13800,21100,13800,21100"
3033tm "BdFrameDeclTextMgr"
3034)
3035]
3036)
3037)
3038defaultBlockFrame (Frame
3039shape (RectFrame
3040va (VaSet
3041vasetType 1
3042fg "65535,65535,65535"
3043lineColor "26368,26368,26368"
3044lineStyle 1
3045lineWidth 3
3046)
3047xt "0,0,20000,20000"
3048)
3049title (TextAssociate
3050ps "TopLeftStrategy"
3051text (MLText
3052va (VaSet
3053)
3054xt "0,-1100,7700,-100"
3055st "b0: BLOCK (guard)"
3056tm "FrameTitleTextMgr"
3057)
3058)
3059seqNum (FrameSequenceNumber
3060ps "TopLeftStrategy"
3061shape (Rectangle
3062va (VaSet
3063vasetType 1
3064fg "65535,65535,65535"
3065)
3066xt "50,50,1250,1450"
3067)
3068num (Text
3069va (VaSet
3070)
3071xt "250,250,1050,1250"
3072st "1"
3073blo "250,1050"
3074tm "FrameSeqNumMgr"
3075)
3076)
3077decls (MlTextGroup
3078ps "BottomRightOffsetStrategy"
3079stg "VerticalLayoutStrategy"
3080textVec [
3081*91 (Text
3082va (VaSet
3083font "Comic Sans MS,8,1"
3084)
3085xt "13800,20000,22000,21100"
3086st "Frame Declarations"
3087blo "13800,20900"
3088)
3089*92 (MLText
3090va (VaSet
3091)
3092xt "13800,21100,13800,21100"
3093tm "BdFrameDeclTextMgr"
3094)
3095]
3096)
3097style 3
3098)
3099defaultSaCptPort (CptPort
3100ps "OnEdgeStrategy"
3101shape (Triangle
3102ro 90
3103va (VaSet
3104vasetType 1
3105fg "0,65535,0"
3106)
3107xt "0,0,750,750"
3108)
3109tg (CPTG
3110ps "CptPortTextPlaceStrategy"
3111stg "VerticalLayoutStrategy"
3112f (Text
3113va (VaSet
3114)
3115xt "0,750,2000,1750"
3116st "Port"
3117blo "0,1550"
3118)
3119)
3120thePort (LogicalPort
3121decl (Decl
3122n "Port"
3123t ""
3124o 0
3125)
3126)
3127)
3128defaultSaCptPortBuffer (CptPort
3129ps "OnEdgeStrategy"
3130shape (Diamond
3131va (VaSet
3132vasetType 1
3133fg "65535,65535,65535"
3134)
3135xt "0,0,750,750"
3136)
3137tg (CPTG
3138ps "CptPortTextPlaceStrategy"
3139stg "VerticalLayoutStrategy"
3140f (Text
3141va (VaSet
3142)
3143xt "0,750,2000,1750"
3144st "Port"
3145blo "0,1550"
3146)
3147)
3148thePort (LogicalPort
3149m 3
3150decl (Decl
3151n "Port"
3152t ""
3153o 0
3154)
3155)
3156)
3157defaultDeclText (MLText
3158va (VaSet
3159)
3160)
3161archDeclarativeBlock (BdArchDeclBlock
3162uid 1,0
3163stg "BdArchDeclBlockLS"
3164declLabel (Text
3165uid 2,0
3166va (VaSet
3167font "Comic Sans MS,8,1"
3168)
3169xt "20000,0,25600,1100"
3170st "Declarations"
3171blo "20000,900"
3172)
3173portLabel (Text
3174uid 3,0
3175va (VaSet
3176font "Comic Sans MS,8,1"
3177)
3178xt "20000,1100,22800,2200"
3179st "Ports:"
3180blo "20000,2000"
3181)
3182preUserLabel (Text
3183uid 4,0
3184va (VaSet
3185isHidden 1
3186font "Comic Sans MS,8,1"
3187)
3188xt "20000,0,24100,1100"
3189st "Pre User:"
3190blo "20000,900"
3191)
3192preUserText (MLText
3193uid 5,0
3194va (VaSet
3195isHidden 1
3196)
3197xt "20000,0,20000,0"
3198tm "BdDeclarativeTextMgr"
3199)
3200diagSignalLabel (Text
3201uid 6,0
3202va (VaSet
3203font "Comic Sans MS,8,1"
3204)
3205xt "20000,2200,27200,3300"
3206st "Diagram Signals:"
3207blo "20000,3100"
3208)
3209postUserLabel (Text
3210uid 7,0
3211va (VaSet
3212isHidden 1
3213font "Comic Sans MS,8,1"
3214)
3215xt "20000,0,25000,1100"
3216st "Post User:"
3217blo "20000,900"
3218)
3219postUserText (MLText
3220uid 8,0
3221va (VaSet
3222isHidden 1
3223)
3224xt "20000,0,20000,0"
3225tm "BdDeclarativeTextMgr"
3226)
3227)
3228commonDM (CommonDM
3229ldm (LogicalDM
3230suid 9,0
3231usingSuid 1
3232emptyRow *93 (LEmptyRow
3233)
3234uid 276,0
3235optionalChildren [
3236*94 (RefLabelRowHdr
3237)
3238*95 (TitleRowHdr
3239)
3240*96 (FilterRowHdr
3241)
3242*97 (RefLabelColHdr
3243tm "RefLabelColHdrMgr"
3244)
3245*98 (RowExpandColHdr
3246tm "RowExpandColHdrMgr"
3247)
3248*99 (GroupColHdr
3249tm "GroupColHdrMgr"
3250)
3251*100 (NameColHdr
3252tm "BlockDiagramNameColHdrMgr"
3253)
3254*101 (ModeColHdr
3255tm "BlockDiagramModeColHdrMgr"
3256)
3257*102 (TypeColHdr
3258tm "BlockDiagramTypeColHdrMgr"
3259)
3260*103 (BoundsColHdr
3261tm "BlockDiagramBoundsColHdrMgr"
3262)
3263*104 (InitColHdr
3264tm "BlockDiagramInitColHdrMgr"
3265)
3266*105 (EolColHdr
3267tm "BlockDiagramEolColHdrMgr"
3268)
3269*106 (LeafLogPort
3270port (LogicalPort
3271m 4
3272decl (Decl
3273n "rx_en"
3274t "std_logic"
3275o 7
3276suid 1,0
3277)
3278)
3279uid 245,0
3280)
3281*107 (LeafLogPort
3282port (LogicalPort
3283m 4
3284decl (Decl
3285n "tx_d"
3286t "std_logic"
3287o 8
3288suid 2,0
3289)
3290)
3291uid 247,0
3292)
3293*108 (LeafLogPort
3294port (LogicalPort
3295m 4
3296decl (Decl
3297n "tx_en"
3298t "std_logic"
3299o 9
3300suid 3,0
3301)
3302)
3303uid 249,0
3304)
3305*109 (LeafLogPort
3306port (LogicalPort
3307lang 10
3308m 4
3309decl (Decl
3310n "rec_timeout_occured"
3311t "std_logic"
3312o 4
3313suid 4,0
3314)
3315)
3316uid 251,0
3317)
3318*110 (LeafLogPort
3319port (LogicalPort
3320lang 10
3321m 4
3322decl (Decl
3323n "rec_dout"
3324t "std_logic_vector"
3325b "(RX_WIDTH - 1 DOWNTO 0)"
3326o 2
3327suid 5,0
3328)
3329)
3330uid 253,0
3331)
3332*111 (LeafLogPort
3333port (LogicalPort
3334lang 10
3335m 4
3336decl (Decl
3337n "rec_valid"
3338t "std_logic"
3339o 5
3340suid 6,0
3341)
3342)
3343uid 255,0
3344)
3345*112 (LeafLogPort
3346port (LogicalPort
3347m 4
3348decl (Decl
3349n "rec_clk"
3350t "std_logic"
3351o 1
3352suid 7,0
3353)
3354)
3355uid 257,0
3356)
3357*113 (LeafLogPort
3358port (LogicalPort
3359m 4
3360decl (Decl
3361n "rx_d"
3362t "std_logic"
3363o 6
3364suid 8,0
3365)
3366)
3367uid 259,0
3368)
3369*114 (LeafLogPort
3370port (LogicalPort
3371m 4
3372decl (Decl
3373n "rec_start"
3374t "std_logic"
3375o 3
3376suid 9,0
3377)
3378)
3379uid 261,0
3380)
3381]
3382)
3383pdm (PhysicalDM
3384displayShortBounds 1
3385editShortBounds 1
3386uid 289,0
3387optionalChildren [
3388*115 (Sheet
3389sheetRow (SheetRow
3390headerVa (MVa
3391cellColor "49152,49152,49152"
3392fontColor "0,0,0"
3393font "Tahoma,10,0"
3394)
3395cellVa (MVa
3396cellColor "65535,65535,65535"
3397fontColor "0,0,0"
3398font "Tahoma,10,0"
3399)
3400groupVa (MVa
3401cellColor "39936,56832,65280"
3402fontColor "0,0,0"
3403font "Tahoma,10,0"
3404)
3405emptyMRCItem *116 (MRCItem
3406litem &93
3407pos 9
3408dimension 20
3409)
3410uid 291,0
3411optionalChildren [
3412*117 (MRCItem
3413litem &94
3414pos 0
3415dimension 20
3416uid 292,0
3417)
3418*118 (MRCItem
3419litem &95
3420pos 1
3421dimension 23
3422uid 293,0
3423)
3424*119 (MRCItem
3425litem &96
3426pos 2
3427hidden 1
3428dimension 20
3429uid 294,0
3430)
3431*120 (MRCItem
3432litem &106
3433pos 0
3434dimension 20
3435uid 246,0
3436)
3437*121 (MRCItem
3438litem &107
3439pos 1
3440dimension 20
3441uid 248,0
3442)
3443*122 (MRCItem
3444litem &108
3445pos 2
3446dimension 20
3447uid 250,0
3448)
3449*123 (MRCItem
3450litem &109
3451pos 3
3452dimension 20
3453uid 252,0
3454)
3455*124 (MRCItem
3456litem &110
3457pos 4
3458dimension 20
3459uid 254,0
3460)
3461*125 (MRCItem
3462litem &111
3463pos 5
3464dimension 20
3465uid 256,0
3466)
3467*126 (MRCItem
3468litem &112
3469pos 6
3470dimension 20
3471uid 258,0
3472)
3473*127 (MRCItem
3474litem &113
3475pos 7
3476dimension 20
3477uid 260,0
3478)
3479*128 (MRCItem
3480litem &114
3481pos 8
3482dimension 20
3483uid 262,0
3484)
3485]
3486)
3487sheetCol (SheetCol
3488propVa (MVa
3489cellColor "0,49152,49152"
3490fontColor "0,0,0"
3491font "Tahoma,10,0"
3492textAngle 90
3493)
3494uid 295,0
3495optionalChildren [
3496*129 (MRCItem
3497litem &97
3498pos 0
3499dimension 20
3500uid 296,0
3501)
3502*130 (MRCItem
3503litem &99
3504pos 1
3505dimension 50
3506uid 297,0
3507)
3508*131 (MRCItem
3509litem &100
3510pos 2
3511dimension 100
3512uid 298,0
3513)
3514*132 (MRCItem
3515litem &101
3516pos 3
3517dimension 50
3518uid 299,0
3519)
3520*133 (MRCItem
3521litem &102
3522pos 4
3523dimension 100
3524uid 300,0
3525)
3526*134 (MRCItem
3527litem &103
3528pos 5
3529dimension 100
3530uid 301,0
3531)
3532*135 (MRCItem
3533litem &104
3534pos 6
3535dimension 50
3536uid 302,0
3537)
3538*136 (MRCItem
3539litem &105
3540pos 7
3541dimension 80
3542uid 303,0
3543)
3544]
3545)
3546fixedCol 4
3547fixedRow 2
3548name "Ports"
3549uid 290,0
3550vaOverrides [
3551]
3552)
3553]
3554)
3555uid 275,0
3556)
3557genericsCommonDM (CommonDM
3558ldm (LogicalDM
3559emptyRow *137 (LEmptyRow
3560)
3561uid 305,0
3562optionalChildren [
3563*138 (RefLabelRowHdr
3564)
3565*139 (TitleRowHdr
3566)
3567*140 (FilterRowHdr
3568)
3569*141 (RefLabelColHdr
3570tm "RefLabelColHdrMgr"
3571)
3572*142 (RowExpandColHdr
3573tm "RowExpandColHdrMgr"
3574)
3575*143 (GroupColHdr
3576tm "GroupColHdrMgr"
3577)
3578*144 (NameColHdr
3579tm "GenericNameColHdrMgr"
3580)
3581*145 (TypeColHdr
3582tm "GenericTypeColHdrMgr"
3583)
3584*146 (InitColHdr
3585tm "GenericValueColHdrMgr"
3586)
3587*147 (PragmaColHdr
3588tm "GenericPragmaColHdrMgr"
3589)
3590*148 (EolColHdr
3591tm "GenericEolColHdrMgr"
3592)
3593*149 (LogGeneric
3594generic (GiElement
3595name "RX_BYTES"
3596type "integer"
3597value "RS485_MESSAGE_LEN_BYTES"
3598pr "-- defined in fad_rs485_definitions.fad_rs485_constants"
3599apr 0
3600e "-- no. of bytes to receive"
3601)
3602uid 9,0
3603)
3604*150 (LogGeneric
3605generic (GiElement
3606name "RX_WIDTH"
3607type "integer"
3608value "RS485_MESSAGE_LEN_BYTES * 8"
3609e "-- no. of bits to receive"
3610)
3611uid 11,0
3612)
3613]
3614)
3615pdm (PhysicalDM
3616displayShortBounds 1
3617editShortBounds 1
3618uid 317,0
3619optionalChildren [
3620*151 (Sheet
3621sheetRow (SheetRow
3622headerVa (MVa
3623cellColor "49152,49152,49152"
3624fontColor "0,0,0"
3625font "Tahoma,10,0"
3626)
3627cellVa (MVa
3628cellColor "65535,65535,65535"
3629fontColor "0,0,0"
3630font "Tahoma,10,0"
3631)
3632groupVa (MVa
3633cellColor "39936,56832,65280"
3634fontColor "0,0,0"
3635font "Tahoma,10,0"
3636)
3637emptyMRCItem *152 (MRCItem
3638litem &137
3639pos 2
3640dimension 20
3641)
3642uid 319,0
3643optionalChildren [
3644*153 (MRCItem
3645litem &138
3646pos 0
3647dimension 20
3648uid 320,0
3649)
3650*154 (MRCItem
3651litem &139
3652pos 1
3653dimension 23
3654uid 321,0
3655)
3656*155 (MRCItem
3657litem &140
3658pos 2
3659hidden 1
3660dimension 20
3661uid 322,0
3662)
3663*156 (MRCItem
3664litem &149
3665pos 0
3666dimension 20
3667uid 10,0
3668)
3669*157 (MRCItem
3670litem &150
3671pos 1
3672dimension 20
3673uid 12,0
3674)
3675]
3676)
3677sheetCol (SheetCol
3678propVa (MVa
3679cellColor "0,49152,49152"
3680fontColor "0,0,0"
3681font "Tahoma,10,0"
3682textAngle 90
3683)
3684uid 323,0
3685optionalChildren [
3686*158 (MRCItem
3687litem &141
3688pos 0
3689dimension 20
3690uid 324,0
3691)
3692*159 (MRCItem
3693litem &143
3694pos 1
3695dimension 50
3696uid 325,0
3697)
3698*160 (MRCItem
3699litem &144
3700pos 2
3701dimension 100
3702uid 326,0
3703)
3704*161 (MRCItem
3705litem &145
3706pos 3
3707dimension 100
3708uid 327,0
3709)
3710*162 (MRCItem
3711litem &146
3712pos 4
3713dimension 50
3714uid 328,0
3715)
3716*163 (MRCItem
3717litem &147
3718pos 5
3719dimension 50
3720uid 329,0
3721)
3722*164 (MRCItem
3723litem &148
3724pos 6
3725dimension 80
3726uid 330,0
3727)
3728]
3729)
3730fixedCol 3
3731fixedRow 2
3732name "Ports"
3733uid 318,0
3734vaOverrides [
3735]
3736)
3737]
3738)
3739uid 304,0
3740type 1
3741)
3742activeModelName "BlockDiag"
3743)
Note: See TracBrowser for help on using the repository browser.