source: firmware/FAD/FACT_FAD_TB_lib/hds/@r@e@f@c@l@k_counter_tb/struct.bd@ 12105

Last change on this file since 12105 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 38.8 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "NUMERIC_STD"
13itemName "ALL"
14)
15(DmPackageRef
16library "FACT_FAD_lib"
17unitName "fad_definitions"
18itemName "ALL"
19)
20(DmPackageRef
21library "ieee"
22unitName "std_logic_unsigned"
23)
24]
25instances [
26(Instance
27name "U_0"
28duLibraryName "FACT_FAD_lib"
29duName "REFCLK_counter"
30elements [
31]
32mwi 0
33uid 33,0
34)
35(Instance
36name "U_1"
37duLibraryName "FACT_FAD_TB_lib"
38duName "REFCLK_counter_tester"
39elements [
40]
41mwi 0
42uid 83,0
43)
44]
45libraryRefs [
46"ieee"
47"FACT_FAD_lib"
48]
49)
50version "29.1"
51appVersion "2009.1 (Build 12)"
52noEmbeddedEditors 1
53model (BlockDiag
54VExpander (VariableExpander
55vvMap [
56(vvPair
57variable "HDLDir"
58value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
59)
60(vvPair
61variable "HDSDir"
62value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
63)
64(vvPair
65variable "SideDataDesignDir"
66value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd.info"
67)
68(vvPair
69variable "SideDataUserDir"
70value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd.user"
71)
72(vvPair
73variable "SourceDir"
74value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
75)
76(vvPair
77variable "appl"
78value "HDL Designer"
79)
80(vvPair
81variable "arch_name"
82value "struct"
83)
84(vvPair
85variable "config"
86value "%(unit)_%(view)_config"
87)
88(vvPair
89variable "d"
90value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb"
91)
92(vvPair
93variable "d_logical"
94value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tb"
95)
96(vvPair
97variable "date"
98value "03.03.2011"
99)
100(vvPair
101variable "day"
102value "Do"
103)
104(vvPair
105variable "day_long"
106value "Donnerstag"
107)
108(vvPair
109variable "dd"
110value "03"
111)
112(vvPair
113variable "entity_name"
114value "REFCLK_counter_tb"
115)
116(vvPair
117variable "ext"
118value "<TBD>"
119)
120(vvPair
121variable "f"
122value "struct.bd"
123)
124(vvPair
125variable "f_logical"
126value "struct.bd"
127)
128(vvPair
129variable "f_noext"
130value "struct"
131)
132(vvPair
133variable "group"
134value "UNKNOWN"
135)
136(vvPair
137variable "host"
138value "IHP110"
139)
140(vvPair
141variable "language"
142value "VHDL"
143)
144(vvPair
145variable "library"
146value "FACT_FAD_TB_lib"
147)
148(vvPair
149variable "library_downstream_HdsLintPlugin"
150value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
151)
152(vvPair
153variable "library_downstream_ISEPARInvoke"
154value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
155)
156(vvPair
157variable "library_downstream_ImpactInvoke"
158value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
159)
160(vvPair
161variable "library_downstream_ModelSimCompiler"
162value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
163)
164(vvPair
165variable "library_downstream_XSTDataPrep"
166value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
167)
168(vvPair
169variable "mm"
170value "03"
171)
172(vvPair
173variable "module_name"
174value "REFCLK_counter_tb"
175)
176(vvPair
177variable "month"
178value "Mrz"
179)
180(vvPair
181variable "month_long"
182value "März"
183)
184(vvPair
185variable "p"
186value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\struct.bd"
187)
188(vvPair
189variable "p_logical"
190value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tb\\struct.bd"
191)
192(vvPair
193variable "package_name"
194value "<Undefined Variable>"
195)
196(vvPair
197variable "project_name"
198value "FACT_FAD"
199)
200(vvPair
201variable "series"
202value "HDL Designer Series"
203)
204(vvPair
205variable "task_DesignCompilerPath"
206value "<TBD>"
207)
208(vvPair
209variable "task_LeonardoPath"
210value "<TBD>"
211)
212(vvPair
213variable "task_ModelSimPath"
214value "D:\\modeltech_6.5e\\win32"
215)
216(vvPair
217variable "task_NC-SimPath"
218value "<TBD>"
219)
220(vvPair
221variable "task_PrecisionRTLPath"
222value "<TBD>"
223)
224(vvPair
225variable "task_QuestaSimPath"
226value "<TBD>"
227)
228(vvPair
229variable "task_VCSPath"
230value "<TBD>"
231)
232(vvPair
233variable "this_ext"
234value "bd"
235)
236(vvPair
237variable "this_file"
238value "struct"
239)
240(vvPair
241variable "this_file_logical"
242value "struct"
243)
244(vvPair
245variable "time"
246value "18:11:04"
247)
248(vvPair
249variable "unit"
250value "REFCLK_counter_tb"
251)
252(vvPair
253variable "user"
254value "daqct3"
255)
256(vvPair
257variable "version"
258value "2009.1 (Build 12)"
259)
260(vvPair
261variable "view"
262value "struct"
263)
264(vvPair
265variable "year"
266value "2011"
267)
268(vvPair
269variable "yy"
270value "11"
271)
272]
273)
274LanguageMgr "VhdlLangMgr"
275uid 186,0
276optionalChildren [
277*1 (SaComponent
278uid 33,0
279optionalChildren [
280*2 (CptPort
281uid 13,0
282ps "OnEdgeStrategy"
283shape (Triangle
284uid 14,0
285ro 90
286va (VaSet
287vasetType 1
288fg "0,65535,0"
289)
290xt "-750,11625,0,12375"
291)
292tg (CPTG
293uid 15,0
294ps "CptPortTextPlaceStrategy"
295stg "VerticalLayoutStrategy"
296f (Text
297uid 16,0
298va (VaSet
299)
300xt "1000,11500,2300,12500"
301st "clk"
302blo "1000,12300"
303)
304)
305thePort (LogicalPort
306decl (Decl
307n "clk"
308t "std_logic"
309o 1
310)
311)
312)
313*3 (CptPort
314uid 17,0
315ps "OnEdgeStrategy"
316shape (Triangle
317uid 18,0
318ro 90
319va (VaSet
320vasetType 1
321fg "0,65535,0"
322)
323xt "-750,12625,0,13375"
324)
325tg (CPTG
326uid 19,0
327ps "CptPortTextPlaceStrategy"
328stg "VerticalLayoutStrategy"
329f (Text
330uid 20,0
331va (VaSet
332)
333xt "1000,12500,4200,13500"
334st "refclk_in"
335blo "1000,13300"
336)
337)
338thePort (LogicalPort
339decl (Decl
340n "refclk_in"
341t "std_logic"
342o 2
343)
344)
345)
346*4 (CptPort
347uid 21,0
348ps "OnEdgeStrategy"
349shape (Triangle
350uid 22,0
351ro 90
352va (VaSet
353vasetType 1
354fg "0,65535,0"
355)
356xt "15000,11625,15750,12375"
357)
358tg (CPTG
359uid 23,0
360ps "CptPortTextPlaceStrategy"
361stg "RightVerticalLayoutStrategy"
362f (Text
363uid 24,0
364va (VaSet
365)
366xt "5400,11500,14000,12500"
367st "counter_result : (11:0)"
368ju 2
369blo "14000,12300"
370)
371)
372thePort (LogicalPort
373m 1
374decl (Decl
375n "counter_result"
376t "std_logic_vector"
377b "(11 downto 0)"
378o 3
379i "(others => '0')"
380)
381)
382)
383*5 (CptPort
384uid 25,0
385ps "OnEdgeStrategy"
386shape (Triangle
387uid 26,0
388ro 90
389va (VaSet
390vasetType 1
391fg "0,65535,0"
392)
393xt "15000,12625,15750,13375"
394)
395tg (CPTG
396uid 27,0
397ps "CptPortTextPlaceStrategy"
398stg "RightVerticalLayoutStrategy"
399f (Text
400uid 28,0
401va (VaSet
402)
403xt "5400,12500,14000,13500"
404st "alarm_refclk_too_high"
405ju 2
406blo "14000,13300"
407)
408)
409thePort (LogicalPort
410m 1
411decl (Decl
412n "alarm_refclk_too_high"
413t "std_logic"
414o 4
415i "'0'"
416)
417)
418)
419*6 (CptPort
420uid 29,0
421ps "OnEdgeStrategy"
422shape (Triangle
423uid 30,0
424ro 90
425va (VaSet
426vasetType 1
427fg "0,65535,0"
428)
429xt "15000,13625,15750,14375"
430)
431tg (CPTG
432uid 31,0
433ps "CptPortTextPlaceStrategy"
434stg "RightVerticalLayoutStrategy"
435f (Text
436uid 32,0
437va (VaSet
438)
439xt "5800,13500,14000,14500"
440st "alarm_refclk_too_low"
441ju 2
442blo "14000,14300"
443)
444)
445thePort (LogicalPort
446m 1
447decl (Decl
448n "alarm_refclk_too_low"
449t "std_logic"
450o 5
451i "'0'"
452)
453)
454)
455]
456shape (Rectangle
457uid 34,0
458va (VaSet
459vasetType 1
460fg "0,65535,0"
461lineColor "0,32896,0"
462lineWidth 2
463)
464xt "0,11000,15000,15000"
465)
466ttg (MlTextGroup
467uid 35,0
468ps "CenterOffsetStrategy"
469stg "VerticalLayoutStrategy"
470textVec [
471*7 (Text
472uid 36,0
473va (VaSet
474font "Arial,8,1"
475)
476xt "3800,15000,10000,16000"
477st "FACT_FAD_lib"
478blo "3800,15800"
479tm "BdLibraryNameMgr"
480)
481*8 (Text
482uid 37,0
483va (VaSet
484font "Arial,8,1"
485)
486xt "3800,16000,11200,17000"
487st "REFCLK_counter"
488blo "3800,16800"
489tm "CptNameMgr"
490)
491*9 (Text
492uid 38,0
493va (VaSet
494font "Arial,8,1"
495)
496xt "3800,17000,5600,18000"
497st "U_0"
498blo "3800,17800"
499tm "InstanceNameMgr"
500)
501]
502)
503ga (GenericAssociation
504uid 39,0
505ps "EdgeToEdgeStrategy"
506matrix (Matrix
507uid 40,0
508text (MLText
509uid 41,0
510va (VaSet
511isHidden 1
512font "Courier New,8,0"
513)
514xt "0,9400,22000,11000"
515)
516header ""
517)
518elements [
519]
520)
521viewicon (ZoomableIcon
522uid 42,0
523sl 0
524va (VaSet
525vasetType 1
526fg "49152,49152,49152"
527)
528xt "250,13250,1750,14750"
529iconName "VhdlFileViewIcon.png"
530iconMaskName "VhdlFileViewIcon.msk"
531ftype 10
532)
533ordering 1
534viewiconposition 0
535portVis (PortSigDisplay
536)
537archType 1
538archFileType "UNKNOWN"
539)
540*10 (Net
541uid 43,0
542lang 10
543decl (Decl
544n "counter_result"
545t "std_logic_vector"
546b "(11 DOWNTO 0)"
547o 1
548suid 1,0
549)
550declText (MLText
551uid 44,0
552va (VaSet
553font "Courier New,8,0"
554)
555xt "22000,5400,54000,6200"
556st "SIGNAL counter_result : std_logic_vector(11 DOWNTO 0)"
557)
558)
559*11 (Net
560uid 51,0
561lang 10
562decl (Decl
563n "alarm_refclk_too_high"
564t "std_logic"
565o 2
566suid 2,0
567)
568declText (MLText
569uid 52,0
570va (VaSet
571font "Courier New,8,0"
572)
573xt "22000,3000,44000,3800"
574st "SIGNAL alarm_refclk_too_high : std_logic"
575)
576)
577*12 (Net
578uid 59,0
579lang 10
580decl (Decl
581n "alarm_refclk_too_low"
582t "std_logic"
583o 3
584suid 3,0
585)
586declText (MLText
587uid 60,0
588va (VaSet
589font "Courier New,8,0"
590)
591xt "22000,3800,44000,4600"
592st "SIGNAL alarm_refclk_too_low : std_logic"
593)
594)
595*13 (Net
596uid 67,0
597decl (Decl
598n "clk"
599t "std_logic"
600preAdd 0
601posAdd 0
602o 4
603suid 4,0
604i "'0'"
605)
606declText (MLText
607uid 68,0
608va (VaSet
609font "Courier New,8,0"
610)
611xt "22000,4600,47500,5400"
612st "SIGNAL clk : std_logic := '0'"
613)
614)
615*14 (Net
616uid 75,0
617decl (Decl
618n "refclk_in"
619t "std_logic"
620o 5
621suid 5,0
622)
623declText (MLText
624uid 76,0
625va (VaSet
626font "Courier New,8,0"
627)
628xt "22000,6200,44000,7000"
629st "SIGNAL refclk_in : std_logic"
630)
631)
632*15 (Blk
633uid 83,0
634shape (Rectangle
635uid 84,0
636va (VaSet
637vasetType 1
638fg "39936,56832,65280"
639lineColor "0,0,32768"
640lineWidth 2
641)
642xt "37000,9000,52000,14000"
643)
644oxt "37000,9000,52000,13000"
645ttg (MlTextGroup
646uid 85,0
647ps "CenterOffsetStrategy"
648stg "VerticalLayoutStrategy"
649textVec [
650*16 (Text
651uid 86,0
652va (VaSet
653font "Arial,8,1"
654)
655xt "40650,9500,48350,10500"
656st "FACT_FAD_TB_lib"
657blo "40650,10300"
658tm "BdLibraryNameMgr"
659)
660*17 (Text
661uid 87,0
662va (VaSet
663font "Arial,8,1"
664)
665xt "40650,10500,50950,11500"
666st "REFCLK_counter_tester"
667blo "40650,11300"
668tm "BlkNameMgr"
669)
670*18 (Text
671uid 88,0
672va (VaSet
673font "Arial,8,1"
674)
675xt "40650,11500,42450,12500"
676st "U_1"
677blo "40650,12300"
678tm "InstanceNameMgr"
679)
680]
681)
682ga (GenericAssociation
683uid 89,0
684ps "EdgeToEdgeStrategy"
685matrix (Matrix
686uid 90,0
687text (MLText
688uid 91,0
689va (VaSet
690font "Courier New,8,0"
691)
692xt "40650,19500,40650,19500"
693)
694header ""
695)
696elements [
697]
698)
699viewicon (ZoomableIcon
700uid 92,0
701sl 0
702va (VaSet
703vasetType 1
704fg "49152,49152,49152"
705)
706xt "37250,12250,38750,13750"
707iconName "VhdlFileViewIcon.png"
708iconMaskName "VhdlFileViewIcon.msk"
709ftype 10
710)
711ordering 1
712viewiconposition 0
713blkPorts [
714"alarm_refclk_too_high"
715"alarm_refclk_too_low"
716"counter_result"
717"clk"
718"refclk_in"
719]
720)
721*19 (Grouping
722uid 133,0
723optionalChildren [
724*20 (CommentText
725uid 135,0
726shape (Rectangle
727uid 136,0
728sl 0
729va (VaSet
730vasetType 1
731fg "65280,65280,46080"
732)
733xt "29000,48000,46000,49000"
734)
735oxt "18000,70000,35000,71000"
736text (MLText
737uid 137,0
738va (VaSet
739fg "0,0,32768"
740bg "0,0,32768"
741)
742xt "29200,48000,40300,49000"
743st "
744by %user on %dd %month %year
745"
746tm "CommentText"
747wrapOption 3
748visibleHeight 1000
749visibleWidth 17000
750)
751position 1
752ignorePrefs 1
753titleBlock 1
754)
755*21 (CommentText
756uid 138,0
757shape (Rectangle
758uid 139,0
759sl 0
760va (VaSet
761vasetType 1
762fg "65280,65280,46080"
763)
764xt "46000,44000,50000,45000"
765)
766oxt "35000,66000,39000,67000"
767text (MLText
768uid 140,0
769va (VaSet
770fg "0,0,32768"
771bg "0,0,32768"
772)
773xt "46200,44000,49500,45000"
774st "
775Project:
776"
777tm "CommentText"
778wrapOption 3
779visibleHeight 1000
780visibleWidth 4000
781)
782position 1
783ignorePrefs 1
784titleBlock 1
785)
786*22 (CommentText
787uid 141,0
788shape (Rectangle
789uid 142,0
790sl 0
791va (VaSet
792vasetType 1
793fg "65280,65280,46080"
794)
795xt "29000,46000,46000,47000"
796)
797oxt "18000,68000,35000,69000"
798text (MLText
799uid 143,0
800va (VaSet
801fg "0,0,32768"
802bg "0,0,32768"
803)
804xt "29200,46000,40100,47000"
805st "
806<enter diagram title here>
807"
808tm "CommentText"
809wrapOption 3
810visibleHeight 1000
811visibleWidth 17000
812)
813position 1
814ignorePrefs 1
815titleBlock 1
816)
817*23 (CommentText
818uid 144,0
819shape (Rectangle
820uid 145,0
821sl 0
822va (VaSet
823vasetType 1
824fg "65280,65280,46080"
825)
826xt "25000,46000,29000,47000"
827)
828oxt "14000,68000,18000,69000"
829text (MLText
830uid 146,0
831va (VaSet
832fg "0,0,32768"
833bg "0,0,32768"
834)
835xt "25200,46000,27500,47000"
836st "
837Title:
838"
839tm "CommentText"
840wrapOption 3
841visibleHeight 1000
842visibleWidth 4000
843)
844position 1
845ignorePrefs 1
846titleBlock 1
847)
848*24 (CommentText
849uid 147,0
850shape (Rectangle
851uid 148,0
852sl 0
853va (VaSet
854vasetType 1
855fg "65280,65280,46080"
856)
857xt "46000,45000,66000,49000"
858)
859oxt "35000,67000,55000,71000"
860text (MLText
861uid 149,0
862va (VaSet
863fg "0,0,32768"
864bg "0,0,32768"
865)
866xt "46200,45200,56000,46200"
867st "
868<enter comments here>
869"
870tm "CommentText"
871wrapOption 3
872visibleHeight 4000
873visibleWidth 20000
874)
875ignorePrefs 1
876titleBlock 1
877)
878*25 (CommentText
879uid 150,0
880shape (Rectangle
881uid 151,0
882sl 0
883va (VaSet
884vasetType 1
885fg "65280,65280,46080"
886)
887xt "50000,44000,66000,45000"
888)
889oxt "39000,66000,55000,67000"
890text (MLText
891uid 152,0
892va (VaSet
893fg "0,0,32768"
894bg "0,0,32768"
895)
896xt "50200,44000,54900,45000"
897st "
898%project_name
899"
900tm "CommentText"
901wrapOption 3
902visibleHeight 1000
903visibleWidth 16000
904)
905position 1
906ignorePrefs 1
907titleBlock 1
908)
909*26 (CommentText
910uid 153,0
911shape (Rectangle
912uid 154,0
913sl 0
914va (VaSet
915vasetType 1
916fg "65280,65280,46080"
917)
918xt "25000,44000,46000,46000"
919)
920oxt "14000,66000,35000,68000"
921text (MLText
922uid 155,0
923va (VaSet
924fg "32768,0,0"
925)
926xt "32200,44500,38800,45500"
927st "
928<company name>
929"
930ju 0
931tm "CommentText"
932wrapOption 3
933visibleHeight 2000
934visibleWidth 21000
935)
936position 1
937ignorePrefs 1
938titleBlock 1
939)
940*27 (CommentText
941uid 156,0
942shape (Rectangle
943uid 157,0
944sl 0
945va (VaSet
946vasetType 1
947fg "65280,65280,46080"
948)
949xt "25000,47000,29000,48000"
950)
951oxt "14000,69000,18000,70000"
952text (MLText
953uid 158,0
954va (VaSet
955fg "0,0,32768"
956bg "0,0,32768"
957)
958xt "25200,47000,27500,48000"
959st "
960Path:
961"
962tm "CommentText"
963wrapOption 3
964visibleHeight 1000
965visibleWidth 4000
966)
967position 1
968ignorePrefs 1
969titleBlock 1
970)
971*28 (CommentText
972uid 159,0
973shape (Rectangle
974uid 160,0
975sl 0
976va (VaSet
977vasetType 1
978fg "65280,65280,46080"
979)
980xt "25000,48000,29000,49000"
981)
982oxt "14000,70000,18000,71000"
983text (MLText
984uid 161,0
985va (VaSet
986fg "0,0,32768"
987bg "0,0,32768"
988)
989xt "25200,48000,28300,49000"
990st "
991Edited:
992"
993tm "CommentText"
994wrapOption 3
995visibleHeight 1000
996visibleWidth 4000
997)
998position 1
999ignorePrefs 1
1000titleBlock 1
1001)
1002*29 (CommentText
1003uid 162,0
1004shape (Rectangle
1005uid 163,0
1006sl 0
1007va (VaSet
1008vasetType 1
1009fg "65280,65280,46080"
1010)
1011xt "29000,47000,46000,48000"
1012)
1013oxt "18000,69000,35000,70000"
1014text (MLText
1015uid 164,0
1016va (VaSet
1017fg "0,0,32768"
1018bg "0,0,32768"
1019)
1020xt "29200,47000,46200,48000"
1021st "
1022%library/%unit/%view
1023"
1024tm "CommentText"
1025wrapOption 3
1026visibleHeight 1000
1027visibleWidth 17000
1028)
1029position 1
1030ignorePrefs 1
1031titleBlock 1
1032)
1033]
1034shape (GroupingShape
1035uid 134,0
1036va (VaSet
1037vasetType 1
1038fg "65535,65535,65535"
1039lineStyle 2
1040lineWidth 2
1041)
1042xt "25000,44000,66000,49000"
1043)
1044oxt "14000,66000,55000,71000"
1045)
1046*30 (Wire
1047uid 45,0
1048shape (OrthoPolyLine
1049uid 46,0
1050va (VaSet
1051vasetType 3
1052lineWidth 2
1053)
1054xt "15750,12000,27000,12000"
1055pts [
1056"15750,12000"
1057"27000,12000"
1058]
1059)
1060start &4
1061sat 32
1062eat 16
1063sty 1
1064st 0
1065sf 1
1066si 0
1067tg (WTG
1068uid 49,0
1069ps "ConnStartEndStrategy"
1070stg "STSignalDisplayStrategy"
1071f (Text
1072uid 50,0
1073va (VaSet
1074)
1075xt "17000,11000,25600,12000"
1076st "counter_result : (11:0)"
1077blo "17000,11800"
1078tm "WireNameMgr"
1079)
1080)
1081on &10
1082)
1083*31 (Wire
1084uid 53,0
1085shape (OrthoPolyLine
1086uid 54,0
1087va (VaSet
1088vasetType 3
1089)
1090xt "15750,13000,27000,13000"
1091pts [
1092"15750,13000"
1093"27000,13000"
1094]
1095)
1096start &5
1097sat 32
1098eat 16
1099st 0
1100sf 1
1101si 0
1102tg (WTG
1103uid 57,0
1104ps "ConnStartEndStrategy"
1105stg "STSignalDisplayStrategy"
1106f (Text
1107uid 58,0
1108va (VaSet
1109)
1110xt "17000,12000,25600,13000"
1111st "alarm_refclk_too_high"
1112blo "17000,12800"
1113tm "WireNameMgr"
1114)
1115)
1116on &11
1117)
1118*32 (Wire
1119uid 61,0
1120shape (OrthoPolyLine
1121uid 62,0
1122va (VaSet
1123vasetType 3
1124)
1125xt "15750,14000,27000,14000"
1126pts [
1127"15750,14000"
1128"27000,14000"
1129]
1130)
1131start &6
1132sat 32
1133eat 16
1134st 0
1135sf 1
1136si 0
1137tg (WTG
1138uid 65,0
1139ps "ConnStartEndStrategy"
1140stg "STSignalDisplayStrategy"
1141f (Text
1142uid 66,0
1143va (VaSet
1144)
1145xt "17000,13000,25200,14000"
1146st "alarm_refclk_too_low"
1147blo "17000,13800"
1148tm "WireNameMgr"
1149)
1150)
1151on &12
1152)
1153*33 (Wire
1154uid 69,0
1155shape (OrthoPolyLine
1156uid 70,0
1157va (VaSet
1158vasetType 3
1159)
1160xt "-6000,12000,-750,12000"
1161pts [
1162"-6000,12000"
1163"-750,12000"
1164]
1165)
1166end &2
1167sat 16
1168eat 32
1169st 0
1170sf 1
1171si 0
1172tg (WTG
1173uid 73,0
1174ps "ConnStartEndStrategy"
1175stg "STSignalDisplayStrategy"
1176f (Text
1177uid 74,0
1178va (VaSet
1179)
1180xt "-5000,11000,-3700,12000"
1181st "clk"
1182blo "-5000,11800"
1183tm "WireNameMgr"
1184)
1185)
1186on &13
1187)
1188*34 (Wire
1189uid 77,0
1190shape (OrthoPolyLine
1191uid 78,0
1192va (VaSet
1193vasetType 3
1194)
1195xt "-6000,13000,-750,13000"
1196pts [
1197"-6000,13000"
1198"-750,13000"
1199]
1200)
1201end &3
1202sat 16
1203eat 32
1204st 0
1205sf 1
1206si 0
1207tg (WTG
1208uid 81,0
1209ps "ConnStartEndStrategy"
1210stg "STSignalDisplayStrategy"
1211f (Text
1212uid 82,0
1213va (VaSet
1214)
1215xt "-5000,12000,-1800,13000"
1216st "refclk_in"
1217blo "-5000,12800"
1218tm "WireNameMgr"
1219)
1220)
1221on &14
1222)
1223*35 (Wire
1224uid 101,0
1225shape (OrthoPolyLine
1226uid 102,0
1227va (VaSet
1228vasetType 3
1229)
1230xt "31000,11000,37000,11000"
1231pts [
1232"31000,11000"
1233"37000,11000"
1234]
1235)
1236end &15
1237sat 16
1238eat 2
1239st 0
1240sf 1
1241si 0
1242tg (WTG
1243uid 107,0
1244ps "ConnStartEndStrategy"
1245stg "STSignalDisplayStrategy"
1246f (Text
1247uid 108,0
1248va (VaSet
1249)
1250xt "32000,10000,35200,11000"
1251st "refclk_in"
1252blo "32000,10800"
1253tm "WireNameMgr"
1254)
1255)
1256on &14
1257)
1258*36 (Wire
1259uid 109,0
1260shape (OrthoPolyLine
1261uid 110,0
1262va (VaSet
1263vasetType 3
1264lineWidth 2
1265)
1266xt "52000,10000,64000,10000"
1267pts [
1268"52000,10000"
1269"64000,10000"
1270]
1271)
1272start &15
1273sat 1
1274eat 16
1275sty 1
1276st 0
1277sf 1
1278si 0
1279tg (WTG
1280uid 115,0
1281ps "ConnStartEndStrategy"
1282stg "STSignalDisplayStrategy"
1283f (Text
1284uid 116,0
1285va (VaSet
1286)
1287xt "53000,9000,61600,10000"
1288st "counter_result : (11:0)"
1289blo "53000,9800"
1290tm "WireNameMgr"
1291)
1292)
1293on &10
1294)
1295*37 (Wire
1296uid 117,0
1297shape (OrthoPolyLine
1298uid 118,0
1299va (VaSet
1300vasetType 3
1301)
1302xt "52000,11000,64000,11000"
1303pts [
1304"52000,11000"
1305"64000,11000"
1306]
1307)
1308start &15
1309sat 1
1310eat 16
1311st 0
1312sf 1
1313si 0
1314tg (WTG
1315uid 123,0
1316ps "ConnStartEndStrategy"
1317stg "STSignalDisplayStrategy"
1318f (Text
1319uid 124,0
1320va (VaSet
1321)
1322xt "53000,10000,61600,11000"
1323st "alarm_refclk_too_high"
1324blo "53000,10800"
1325tm "WireNameMgr"
1326)
1327)
1328on &11
1329)
1330*38 (Wire
1331uid 125,0
1332shape (OrthoPolyLine
1333uid 126,0
1334va (VaSet
1335vasetType 3
1336)
1337xt "52000,12000,64000,12000"
1338pts [
1339"52000,12000"
1340"64000,12000"
1341]
1342)
1343start &15
1344sat 1
1345eat 16
1346st 0
1347sf 1
1348si 0
1349tg (WTG
1350uid 131,0
1351ps "ConnStartEndStrategy"
1352stg "STSignalDisplayStrategy"
1353f (Text
1354uid 132,0
1355va (VaSet
1356)
1357xt "53000,11000,61200,12000"
1358st "alarm_refclk_too_low"
1359blo "53000,11800"
1360tm "WireNameMgr"
1361)
1362)
1363on &12
1364)
1365*39 (Wire
1366uid 661,0
1367shape (OrthoPolyLine
1368uid 662,0
1369va (VaSet
1370vasetType 3
1371)
1372xt "31000,12000,37000,12000"
1373pts [
1374"37000,12000"
1375"31000,12000"
1376]
1377)
1378start &15
1379sat 2
1380eat 16
1381st 0
1382sf 1
1383si 0
1384tg (WTG
1385uid 667,0
1386ps "ConnStartEndStrategy"
1387stg "STSignalDisplayStrategy"
1388f (Text
1389uid 668,0
1390va (VaSet
1391)
1392xt "32000,11000,33500,12000"
1393st "clk"
1394blo "32000,11800"
1395tm "WireNameMgr"
1396)
1397)
1398on &13
1399)
1400]
1401bg "65535,65535,65535"
1402grid (Grid
1403origin "0,0"
1404isVisible 1
1405isActive 1
1406xSpacing 1000
1407xySpacing 1000
1408xShown 1
1409yShown 1
1410color "26368,26368,26368"
1411)
1412packageList *40 (PackageList
1413uid 175,0
1414stg "VerticalLayoutStrategy"
1415textVec [
1416*41 (Text
1417uid 176,0
1418va (VaSet
1419font "arial,8,1"
1420)
1421xt "0,0,5400,1000"
1422st "Package List"
1423blo "0,800"
1424)
1425*42 (MLText
1426uid 177,0
1427va (VaSet
1428)
1429xt "0,1000,16700,7000"
1430st "LIBRARY ieee;
1431USE ieee.std_logic_1164.ALL;
1432USE IEEE.NUMERIC_STD.ALL;
1433LIBRARY FACT_FAD_lib;
1434USE FACT_FAD_lib.fad_definitions.ALL;
1435USE ieee.std_logic_unsigned.all;"
1436tm "PackageList"
1437)
1438]
1439)
1440compDirBlock (MlTextGroup
1441uid 178,0
1442stg "VerticalLayoutStrategy"
1443textVec [
1444*43 (Text
1445uid 179,0
1446va (VaSet
1447isHidden 1
1448font "Arial,8,1"
1449)
1450xt "20000,0,28100,1000"
1451st "Compiler Directives"
1452blo "20000,800"
1453)
1454*44 (Text
1455uid 180,0
1456va (VaSet
1457isHidden 1
1458font "Arial,8,1"
1459)
1460xt "20000,1000,29600,2000"
1461st "Pre-module directives:"
1462blo "20000,1800"
1463)
1464*45 (MLText
1465uid 181,0
1466va (VaSet
1467isHidden 1
1468)
1469xt "20000,2000,28200,4000"
1470st "`resetall
1471`timescale 1ns/10ps"
1472tm "BdCompilerDirectivesTextMgr"
1473)
1474*46 (Text
1475uid 182,0
1476va (VaSet
1477isHidden 1
1478font "Arial,8,1"
1479)
1480xt "20000,4000,30100,5000"
1481st "Post-module directives:"
1482blo "20000,4800"
1483)
1484*47 (MLText
1485uid 183,0
1486va (VaSet
1487isHidden 1
1488)
1489xt "20000,0,20000,0"
1490tm "BdCompilerDirectivesTextMgr"
1491)
1492*48 (Text
1493uid 184,0
1494va (VaSet
1495isHidden 1
1496font "Arial,8,1"
1497)
1498xt "20000,5000,29900,6000"
1499st "End-module directives:"
1500blo "20000,5800"
1501)
1502*49 (MLText
1503uid 185,0
1504va (VaSet
1505isHidden 1
1506)
1507xt "20000,6000,20000,6000"
1508tm "BdCompilerDirectivesTextMgr"
1509)
1510]
1511associable 1
1512)
1513windowSize "0,22,1283,1024"
1514viewArea "-11900,-2000,49812,46372"
1515cachedDiagramExtent "-6400,0,66000,49000"
1516hasePageBreakOrigin 1
1517pageBreakOrigin "-7000,0"
1518lastUid 827,0
1519defaultCommentText (CommentText
1520shape (Rectangle
1521layer 0
1522va (VaSet
1523vasetType 1
1524fg "65280,65280,46080"
1525lineColor "0,0,32768"
1526)
1527xt "0,0,15000,5000"
1528)
1529text (MLText
1530va (VaSet
1531fg "0,0,32768"
1532)
1533xt "200,200,2400,1200"
1534st "
1535Text
1536"
1537tm "CommentText"
1538wrapOption 3
1539visibleHeight 4600
1540visibleWidth 14600
1541)
1542)
1543defaultPanel (Panel
1544shape (RectFrame
1545va (VaSet
1546vasetType 1
1547fg "65535,65535,65535"
1548lineColor "32768,0,0"
1549lineWidth 3
1550)
1551xt "0,0,20000,20000"
1552)
1553title (TextAssociate
1554ps "TopLeftStrategy"
1555text (Text
1556va (VaSet
1557font "Arial,8,1"
1558)
1559xt "1000,1000,3800,2000"
1560st "Panel0"
1561blo "1000,1800"
1562tm "PanelText"
1563)
1564)
1565)
1566defaultBlk (Blk
1567shape (Rectangle
1568va (VaSet
1569vasetType 1
1570fg "39936,56832,65280"
1571lineColor "0,0,32768"
1572lineWidth 2
1573)
1574xt "0,0,8000,10000"
1575)
1576ttg (MlTextGroup
1577ps "CenterOffsetStrategy"
1578stg "VerticalLayoutStrategy"
1579textVec [
1580*50 (Text
1581va (VaSet
1582font "Arial,8,1"
1583)
1584xt "2200,3500,5800,4500"
1585st "<library>"
1586blo "2200,4300"
1587tm "BdLibraryNameMgr"
1588)
1589*51 (Text
1590va (VaSet
1591font "Arial,8,1"
1592)
1593xt "2200,4500,5600,5500"
1594st "<block>"
1595blo "2200,5300"
1596tm "BlkNameMgr"
1597)
1598*52 (Text
1599va (VaSet
1600font "Arial,8,1"
1601)
1602xt "2200,5500,4000,6500"
1603st "U_0"
1604blo "2200,6300"
1605tm "InstanceNameMgr"
1606)
1607]
1608)
1609ga (GenericAssociation
1610ps "EdgeToEdgeStrategy"
1611matrix (Matrix
1612text (MLText
1613va (VaSet
1614font "Courier New,8,0"
1615)
1616xt "2200,13500,2200,13500"
1617)
1618header ""
1619)
1620elements [
1621]
1622)
1623viewicon (ZoomableIcon
1624sl 0
1625va (VaSet
1626vasetType 1
1627fg "49152,49152,49152"
1628)
1629xt "0,0,1500,1500"
1630iconName "UnknownFile.png"
1631iconMaskName "UnknownFile.msk"
1632)
1633viewiconposition 0
1634)
1635defaultMWComponent (MWC
1636shape (Rectangle
1637va (VaSet
1638vasetType 1
1639fg "0,65535,0"
1640lineColor "0,32896,0"
1641lineWidth 2
1642)
1643xt "0,0,8000,10000"
1644)
1645ttg (MlTextGroup
1646ps "CenterOffsetStrategy"
1647stg "VerticalLayoutStrategy"
1648textVec [
1649*53 (Text
1650va (VaSet
1651font "Arial,8,1"
1652)
1653xt "550,3500,3450,4500"
1654st "Library"
1655blo "550,4300"
1656)
1657*54 (Text
1658va (VaSet
1659font "Arial,8,1"
1660)
1661xt "550,4500,7450,5500"
1662st "MWComponent"
1663blo "550,5300"
1664)
1665*55 (Text
1666va (VaSet
1667font "Arial,8,1"
1668)
1669xt "550,5500,2350,6500"
1670st "U_0"
1671blo "550,6300"
1672tm "InstanceNameMgr"
1673)
1674]
1675)
1676ga (GenericAssociation
1677ps "EdgeToEdgeStrategy"
1678matrix (Matrix
1679text (MLText
1680va (VaSet
1681font "Courier New,8,0"
1682)
1683xt "-6450,1500,-6450,1500"
1684)
1685header ""
1686)
1687elements [
1688]
1689)
1690portVis (PortSigDisplay
1691)
1692prms (Property
1693pclass "params"
1694pname "params"
1695ptn "String"
1696)
1697visOptions (mwParamsVisibilityOptions
1698)
1699)
1700defaultSaComponent (SaComponent
1701shape (Rectangle
1702va (VaSet
1703vasetType 1
1704fg "0,65535,0"
1705lineColor "0,32896,0"
1706lineWidth 2
1707)
1708xt "0,0,8000,10000"
1709)
1710ttg (MlTextGroup
1711ps "CenterOffsetStrategy"
1712stg "VerticalLayoutStrategy"
1713textVec [
1714*56 (Text
1715va (VaSet
1716font "Arial,8,1"
1717)
1718xt "900,3500,3800,4500"
1719st "Library"
1720blo "900,4300"
1721tm "BdLibraryNameMgr"
1722)
1723*57 (Text
1724va (VaSet
1725font "Arial,8,1"
1726)
1727xt "900,4500,7100,5500"
1728st "SaComponent"
1729blo "900,5300"
1730tm "CptNameMgr"
1731)
1732*58 (Text
1733va (VaSet
1734font "Arial,8,1"
1735)
1736xt "900,5500,2700,6500"
1737st "U_0"
1738blo "900,6300"
1739tm "InstanceNameMgr"
1740)
1741]
1742)
1743ga (GenericAssociation
1744ps "EdgeToEdgeStrategy"
1745matrix (Matrix
1746text (MLText
1747va (VaSet
1748font "Courier New,8,0"
1749)
1750xt "-6100,1500,-6100,1500"
1751)
1752header ""
1753)
1754elements [
1755]
1756)
1757viewicon (ZoomableIcon
1758sl 0
1759va (VaSet
1760vasetType 1
1761fg "49152,49152,49152"
1762)
1763xt "0,0,1500,1500"
1764iconName "UnknownFile.png"
1765iconMaskName "UnknownFile.msk"
1766)
1767viewiconposition 0
1768portVis (PortSigDisplay
1769)
1770archFileType "UNKNOWN"
1771)
1772defaultVhdlComponent (VhdlComponent
1773shape (Rectangle
1774va (VaSet
1775vasetType 1
1776fg "0,65535,0"
1777lineColor "0,32896,0"
1778lineWidth 2
1779)
1780xt "0,0,8000,10000"
1781)
1782ttg (MlTextGroup
1783ps "CenterOffsetStrategy"
1784stg "VerticalLayoutStrategy"
1785textVec [
1786*59 (Text
1787va (VaSet
1788font "Arial,8,1"
1789)
1790xt "500,3500,3400,4500"
1791st "Library"
1792blo "500,4300"
1793)
1794*60 (Text
1795va (VaSet
1796font "Arial,8,1"
1797)
1798xt "500,4500,7500,5500"
1799st "VhdlComponent"
1800blo "500,5300"
1801)
1802*61 (Text
1803va (VaSet
1804font "Arial,8,1"
1805)
1806xt "500,5500,2300,6500"
1807st "U_0"
1808blo "500,6300"
1809tm "InstanceNameMgr"
1810)
1811]
1812)
1813ga (GenericAssociation
1814ps "EdgeToEdgeStrategy"
1815matrix (Matrix
1816text (MLText
1817va (VaSet
1818font "Courier New,8,0"
1819)
1820xt "-6500,1500,-6500,1500"
1821)
1822header ""
1823)
1824elements [
1825]
1826)
1827portVis (PortSigDisplay
1828)
1829entityPath ""
1830archName ""
1831archPath ""
1832)
1833defaultVerilogComponent (VerilogComponent
1834shape (Rectangle
1835va (VaSet
1836vasetType 1
1837fg "0,65535,0"
1838lineColor "0,32896,0"
1839lineWidth 2
1840)
1841xt "-450,0,8450,10000"
1842)
1843ttg (MlTextGroup
1844ps "CenterOffsetStrategy"
1845stg "VerticalLayoutStrategy"
1846textVec [
1847*62 (Text
1848va (VaSet
1849font "Arial,8,1"
1850)
1851xt "50,3500,2950,4500"
1852st "Library"
1853blo "50,4300"
1854)
1855*63 (Text
1856va (VaSet
1857font "Arial,8,1"
1858)
1859xt "50,4500,7950,5500"
1860st "VerilogComponent"
1861blo "50,5300"
1862)
1863*64 (Text
1864va (VaSet
1865font "Arial,8,1"
1866)
1867xt "50,5500,1850,6500"
1868st "U_0"
1869blo "50,6300"
1870tm "InstanceNameMgr"
1871)
1872]
1873)
1874ga (GenericAssociation
1875ps "EdgeToEdgeStrategy"
1876matrix (Matrix
1877text (MLText
1878va (VaSet
1879font "Courier New,8,0"
1880)
1881xt "-6950,1500,-6950,1500"
1882)
1883header ""
1884)
1885elements [
1886]
1887)
1888entityPath ""
1889)
1890defaultHdlText (HdlText
1891shape (Rectangle
1892va (VaSet
1893vasetType 1
1894fg "65535,65535,37120"
1895lineColor "0,0,32768"
1896lineWidth 2
1897)
1898xt "0,0,8000,10000"
1899)
1900ttg (MlTextGroup
1901ps "CenterOffsetStrategy"
1902stg "VerticalLayoutStrategy"
1903textVec [
1904*65 (Text
1905va (VaSet
1906font "Arial,8,1"
1907)
1908xt "3150,4000,4850,5000"
1909st "eb1"
1910blo "3150,4800"
1911tm "HdlTextNameMgr"
1912)
1913*66 (Text
1914va (VaSet
1915font "Arial,8,1"
1916)
1917xt "3150,5000,3950,6000"
1918st "1"
1919blo "3150,5800"
1920tm "HdlTextNumberMgr"
1921)
1922]
1923)
1924viewicon (ZoomableIcon
1925sl 0
1926va (VaSet
1927vasetType 1
1928fg "49152,49152,49152"
1929)
1930xt "0,0,1500,1500"
1931iconName "UnknownFile.png"
1932iconMaskName "UnknownFile.msk"
1933)
1934viewiconposition 0
1935)
1936defaultEmbeddedText (EmbeddedText
1937commentText (CommentText
1938ps "CenterOffsetStrategy"
1939shape (Rectangle
1940va (VaSet
1941vasetType 1
1942fg "65535,65535,65535"
1943lineColor "0,0,32768"
1944lineWidth 2
1945)
1946xt "0,0,18000,5000"
1947)
1948text (MLText
1949va (VaSet
1950)
1951xt "200,200,2400,1200"
1952st "
1953Text
1954"
1955tm "HdlTextMgr"
1956wrapOption 3
1957visibleHeight 4600
1958visibleWidth 17600
1959)
1960)
1961)
1962defaultGlobalConnector (GlobalConnector
1963shape (Circle
1964va (VaSet
1965vasetType 1
1966fg "65535,65535,0"
1967)
1968xt "-1000,-1000,1000,1000"
1969radius 1000
1970)
1971name (Text
1972va (VaSet
1973font "Arial,8,1"
1974)
1975xt "-500,-500,500,500"
1976st "G"
1977blo "-500,300"
1978)
1979)
1980defaultRipper (Ripper
1981ps "OnConnectorStrategy"
1982shape (Line2D
1983pts [
1984"0,0"
1985"1000,1000"
1986]
1987va (VaSet
1988vasetType 1
1989)
1990xt "0,0,1000,1000"
1991)
1992)
1993defaultBdJunction (BdJunction
1994ps "OnConnectorStrategy"
1995shape (Circle
1996va (VaSet
1997vasetType 1
1998)
1999xt "-400,-400,400,400"
2000radius 400
2001)
2002)
2003defaultPortIoIn (PortIoIn
2004shape (CompositeShape
2005va (VaSet
2006vasetType 1
2007fg "0,0,32768"
2008)
2009optionalChildren [
2010(Pentagon
2011sl 0
2012ro 270
2013xt "-2000,-375,-500,375"
2014)
2015(Line
2016sl 0
2017ro 270
2018xt "-500,0,0,0"
2019pts [
2020"-500,0"
2021"0,0"
2022]
2023)
2024]
2025)
2026stc 0
2027sf 1
2028tg (WTG
2029ps "PortIoTextPlaceStrategy"
2030stg "STSignalDisplayStrategy"
2031f (Text
2032va (VaSet
2033)
2034xt "-1375,-1000,-1375,-1000"
2035ju 2
2036blo "-1375,-1000"
2037tm "WireNameMgr"
2038)
2039)
2040)
2041defaultPortIoOut (PortIoOut
2042shape (CompositeShape
2043va (VaSet
2044vasetType 1
2045fg "0,0,32768"
2046)
2047optionalChildren [
2048(Pentagon
2049sl 0
2050ro 270
2051xt "500,-375,2000,375"
2052)
2053(Line
2054sl 0
2055ro 270
2056xt "0,0,500,0"
2057pts [
2058"0,0"
2059"500,0"
2060]
2061)
2062]
2063)
2064stc 0
2065sf 1
2066tg (WTG
2067ps "PortIoTextPlaceStrategy"
2068stg "STSignalDisplayStrategy"
2069f (Text
2070va (VaSet
2071)
2072xt "625,-1000,625,-1000"
2073blo "625,-1000"
2074tm "WireNameMgr"
2075)
2076)
2077)
2078defaultPortIoInOut (PortIoInOut
2079shape (CompositeShape
2080va (VaSet
2081vasetType 1
2082fg "0,0,32768"
2083)
2084optionalChildren [
2085(Hexagon
2086sl 0
2087xt "500,-375,2000,375"
2088)
2089(Line
2090sl 0
2091xt "0,0,500,0"
2092pts [
2093"0,0"
2094"500,0"
2095]
2096)
2097]
2098)
2099stc 0
2100sf 1
2101tg (WTG
2102ps "PortIoTextPlaceStrategy"
2103stg "STSignalDisplayStrategy"
2104f (Text
2105va (VaSet
2106)
2107xt "0,-375,0,-375"
2108blo "0,-375"
2109tm "WireNameMgr"
2110)
2111)
2112)
2113defaultPortIoBuffer (PortIoBuffer
2114shape (CompositeShape
2115va (VaSet
2116vasetType 1
2117fg "65535,65535,65535"
2118lineColor "0,0,32768"
2119)
2120optionalChildren [
2121(Hexagon
2122sl 0
2123xt "500,-375,2000,375"
2124)
2125(Line
2126sl 0
2127xt "0,0,500,0"
2128pts [
2129"0,0"
2130"500,0"
2131]
2132)
2133]
2134)
2135stc 0
2136sf 1
2137tg (WTG
2138ps "PortIoTextPlaceStrategy"
2139stg "STSignalDisplayStrategy"
2140f (Text
2141va (VaSet
2142)
2143xt "0,-375,0,-375"
2144blo "0,-375"
2145tm "WireNameMgr"
2146)
2147)
2148)
2149defaultSignal (Wire
2150shape (OrthoPolyLine
2151va (VaSet
2152vasetType 3
2153)
2154pts [
2155"0,0"
2156"0,0"
2157]
2158)
2159ss 0
2160es 0
2161sat 32
2162eat 32
2163st 0
2164sf 1
2165si 0
2166tg (WTG
2167ps "ConnStartEndStrategy"
2168stg "STSignalDisplayStrategy"
2169f (Text
2170va (VaSet
2171)
2172xt "0,0,1900,1000"
2173st "sig0"
2174blo "0,800"
2175tm "WireNameMgr"
2176)
2177)
2178)
2179defaultBus (Wire
2180shape (OrthoPolyLine
2181va (VaSet
2182vasetType 3
2183lineWidth 2
2184)
2185pts [
2186"0,0"
2187"0,0"
2188]
2189)
2190ss 0
2191es 0
2192sat 32
2193eat 32
2194sty 1
2195st 0
2196sf 1
2197si 0
2198tg (WTG
2199ps "ConnStartEndStrategy"
2200stg "STSignalDisplayStrategy"
2201f (Text
2202va (VaSet
2203)
2204xt "0,0,2400,1000"
2205st "dbus0"
2206blo "0,800"
2207tm "WireNameMgr"
2208)
2209)
2210)
2211defaultBundle (Bundle
2212shape (OrthoPolyLine
2213va (VaSet
2214vasetType 3
2215lineColor "32768,0,0"
2216lineWidth 2
2217)
2218pts [
2219"0,0"
2220"0,0"
2221]
2222)
2223ss 0
2224es 0
2225sat 32
2226eat 32
2227textGroup (BiTextGroup
2228ps "ConnStartEndStrategy"
2229stg "VerticalLayoutStrategy"
2230first (Text
2231va (VaSet
2232)
2233xt "0,0,3000,1000"
2234st "bundle0"
2235blo "0,800"
2236tm "BundleNameMgr"
2237)
2238second (MLText
2239va (VaSet
2240)
2241xt "0,1000,1000,2000"
2242st "()"
2243tm "BundleContentsMgr"
2244)
2245)
2246bundleNet &0
2247)
2248defaultPortMapFrame (PortMapFrame
2249ps "PortMapFrameStrategy"
2250shape (RectFrame
2251va (VaSet
2252vasetType 1
2253fg "65535,65535,65535"
2254lineColor "0,0,32768"
2255lineWidth 2
2256)
2257xt "0,0,10000,12000"
2258)
2259portMapText (BiTextGroup
2260ps "BottomRightOffsetStrategy"
2261stg "VerticalLayoutStrategy"
2262first (MLText
2263va (VaSet
2264)
2265)
2266second (MLText
2267va (VaSet
2268)
2269tm "PortMapTextMgr"
2270)
2271)
2272)
2273defaultGenFrame (Frame
2274shape (RectFrame
2275va (VaSet
2276vasetType 1
2277fg "65535,65535,65535"
2278lineColor "26368,26368,26368"
2279lineStyle 2
2280lineWidth 3
2281)
2282xt "0,0,20000,20000"
2283)
2284title (TextAssociate
2285ps "TopLeftStrategy"
2286text (MLText
2287va (VaSet
2288)
2289xt "0,-1100,12900,-100"
2290st "g0: FOR i IN 0 TO n GENERATE"
2291tm "FrameTitleTextMgr"
2292)
2293)
2294seqNum (FrameSequenceNumber
2295ps "TopLeftStrategy"
2296shape (Rectangle
2297va (VaSet
2298vasetType 1
2299fg "65535,65535,65535"
2300)
2301xt "50,50,1250,1450"
2302)
2303num (Text
2304va (VaSet
2305)
2306xt "250,250,1050,1250"
2307st "1"
2308blo "250,1050"
2309tm "FrameSeqNumMgr"
2310)
2311)
2312decls (MlTextGroup
2313ps "BottomRightOffsetStrategy"
2314stg "VerticalLayoutStrategy"
2315textVec [
2316*67 (Text
2317va (VaSet
2318font "Arial,8,1"
2319)
2320xt "14100,20000,22000,21000"
2321st "Frame Declarations"
2322blo "14100,20800"
2323)
2324*68 (MLText
2325va (VaSet
2326)
2327xt "14100,21000,14100,21000"
2328tm "BdFrameDeclTextMgr"
2329)
2330]
2331)
2332)
2333defaultBlockFrame (Frame
2334shape (RectFrame
2335va (VaSet
2336vasetType 1
2337fg "65535,65535,65535"
2338lineColor "26368,26368,26368"
2339lineStyle 1
2340lineWidth 3
2341)
2342xt "0,0,20000,20000"
2343)
2344title (TextAssociate
2345ps "TopLeftStrategy"
2346text (MLText
2347va (VaSet
2348)
2349xt "0,-1100,7700,-100"
2350st "b0: BLOCK (guard)"
2351tm "FrameTitleTextMgr"
2352)
2353)
2354seqNum (FrameSequenceNumber
2355ps "TopLeftStrategy"
2356shape (Rectangle
2357va (VaSet
2358vasetType 1
2359fg "65535,65535,65535"
2360)
2361xt "50,50,1250,1450"
2362)
2363num (Text
2364va (VaSet
2365)
2366xt "250,250,1050,1250"
2367st "1"
2368blo "250,1050"
2369tm "FrameSeqNumMgr"
2370)
2371)
2372decls (MlTextGroup
2373ps "BottomRightOffsetStrategy"
2374stg "VerticalLayoutStrategy"
2375textVec [
2376*69 (Text
2377va (VaSet
2378font "Arial,8,1"
2379)
2380xt "14100,20000,22000,21000"
2381st "Frame Declarations"
2382blo "14100,20800"
2383)
2384*70 (MLText
2385va (VaSet
2386)
2387xt "14100,21000,14100,21000"
2388tm "BdFrameDeclTextMgr"
2389)
2390]
2391)
2392style 3
2393)
2394defaultSaCptPort (CptPort
2395ps "OnEdgeStrategy"
2396shape (Triangle
2397ro 90
2398va (VaSet
2399vasetType 1
2400fg "0,65535,0"
2401)
2402xt "0,0,750,750"
2403)
2404tg (CPTG
2405ps "CptPortTextPlaceStrategy"
2406stg "VerticalLayoutStrategy"
2407f (Text
2408va (VaSet
2409)
2410xt "0,750,1800,1750"
2411st "Port"
2412blo "0,1550"
2413)
2414)
2415thePort (LogicalPort
2416decl (Decl
2417n "Port"
2418t ""
2419o 0
2420)
2421)
2422)
2423defaultSaCptPortBuffer (CptPort
2424ps "OnEdgeStrategy"
2425shape (Diamond
2426va (VaSet
2427vasetType 1
2428fg "65535,65535,65535"
2429)
2430xt "0,0,750,750"
2431)
2432tg (CPTG
2433ps "CptPortTextPlaceStrategy"
2434stg "VerticalLayoutStrategy"
2435f (Text
2436va (VaSet
2437)
2438xt "0,750,1800,1750"
2439st "Port"
2440blo "0,1550"
2441)
2442)
2443thePort (LogicalPort
2444m 3
2445decl (Decl
2446n "Port"
2447t ""
2448o 0
2449)
2450)
2451)
2452defaultDeclText (MLText
2453va (VaSet
2454font "Courier New,8,0"
2455)
2456)
2457archDeclarativeBlock (BdArchDeclBlock
2458uid 1,0
2459stg "BdArchDeclBlockLS"
2460declLabel (Text
2461uid 2,0
2462va (VaSet
2463font "Arial,8,1"
2464)
2465xt "20000,0,25400,1000"
2466st "Declarations"
2467blo "20000,800"
2468)
2469portLabel (Text
2470uid 3,0
2471va (VaSet
2472font "Arial,8,1"
2473)
2474xt "20000,1000,22700,2000"
2475st "Ports:"
2476blo "20000,1800"
2477)
2478preUserLabel (Text
2479uid 4,0
2480va (VaSet
2481isHidden 1
2482font "Arial,8,1"
2483)
2484xt "20000,0,23800,1000"
2485st "Pre User:"
2486blo "20000,800"
2487)
2488preUserText (MLText
2489uid 5,0
2490va (VaSet
2491isHidden 1
2492font "Courier New,8,0"
2493)
2494xt "20000,0,20000,0"
2495tm "BdDeclarativeTextMgr"
2496)
2497diagSignalLabel (Text
2498uid 6,0
2499va (VaSet
2500font "Arial,8,1"
2501)
2502xt "20000,2000,27100,3000"
2503st "Diagram Signals:"
2504blo "20000,2800"
2505)
2506postUserLabel (Text
2507uid 7,0
2508va (VaSet
2509isHidden 1
2510font "Arial,8,1"
2511)
2512xt "20000,0,24700,1000"
2513st "Post User:"
2514blo "20000,800"
2515)
2516postUserText (MLText
2517uid 8,0
2518va (VaSet
2519isHidden 1
2520font "Courier New,8,0"
2521)
2522xt "20000,0,20000,0"
2523tm "BdDeclarativeTextMgr"
2524)
2525)
2526commonDM (CommonDM
2527ldm (LogicalDM
2528suid 6,0
2529usingSuid 1
2530emptyRow *71 (LEmptyRow
2531)
2532uid 188,0
2533optionalChildren [
2534*72 (RefLabelRowHdr
2535)
2536*73 (TitleRowHdr
2537)
2538*74 (FilterRowHdr
2539)
2540*75 (RefLabelColHdr
2541tm "RefLabelColHdrMgr"
2542)
2543*76 (RowExpandColHdr
2544tm "RowExpandColHdrMgr"
2545)
2546*77 (GroupColHdr
2547tm "GroupColHdrMgr"
2548)
2549*78 (NameColHdr
2550tm "BlockDiagramNameColHdrMgr"
2551)
2552*79 (ModeColHdr
2553tm "BlockDiagramModeColHdrMgr"
2554)
2555*80 (TypeColHdr
2556tm "BlockDiagramTypeColHdrMgr"
2557)
2558*81 (BoundsColHdr
2559tm "BlockDiagramBoundsColHdrMgr"
2560)
2561*82 (InitColHdr
2562tm "BlockDiagramInitColHdrMgr"
2563)
2564*83 (EolColHdr
2565tm "BlockDiagramEolColHdrMgr"
2566)
2567*84 (LeafLogPort
2568port (LogicalPort
2569lang 10
2570m 4
2571decl (Decl
2572n "counter_result"
2573t "std_logic_vector"
2574b "(11 DOWNTO 0)"
2575o 1
2576suid 1,0
2577)
2578)
2579uid 165,0
2580)
2581*85 (LeafLogPort
2582port (LogicalPort
2583lang 10
2584m 4
2585decl (Decl
2586n "alarm_refclk_too_high"
2587t "std_logic"
2588o 2
2589suid 2,0
2590)
2591)
2592uid 167,0
2593)
2594*86 (LeafLogPort
2595port (LogicalPort
2596lang 10
2597m 4
2598decl (Decl
2599n "alarm_refclk_too_low"
2600t "std_logic"
2601o 3
2602suid 3,0
2603)
2604)
2605uid 169,0
2606)
2607*87 (LeafLogPort
2608port (LogicalPort
2609m 4
2610decl (Decl
2611n "clk"
2612t "std_logic"
2613preAdd 0
2614posAdd 0
2615o 4
2616suid 4,0
2617i "'0'"
2618)
2619)
2620uid 171,0
2621)
2622*88 (LeafLogPort
2623port (LogicalPort
2624m 4
2625decl (Decl
2626n "refclk_in"
2627t "std_logic"
2628o 5
2629suid 5,0
2630)
2631)
2632uid 173,0
2633)
2634]
2635)
2636pdm (PhysicalDM
2637displayShortBounds 1
2638editShortBounds 1
2639uid 201,0
2640optionalChildren [
2641*89 (Sheet
2642sheetRow (SheetRow
2643headerVa (MVa
2644cellColor "49152,49152,49152"
2645fontColor "0,0,0"
2646font "Tahoma,10,0"
2647)
2648cellVa (MVa
2649cellColor "65535,65535,65535"
2650fontColor "0,0,0"
2651font "Tahoma,10,0"
2652)
2653groupVa (MVa
2654cellColor "39936,56832,65280"
2655fontColor "0,0,0"
2656font "Tahoma,10,0"
2657)
2658emptyMRCItem *90 (MRCItem
2659litem &71
2660pos 5
2661dimension 20
2662)
2663uid 203,0
2664optionalChildren [
2665*91 (MRCItem
2666litem &72
2667pos 0
2668dimension 20
2669uid 204,0
2670)
2671*92 (MRCItem
2672litem &73
2673pos 1
2674dimension 23
2675uid 205,0
2676)
2677*93 (MRCItem
2678litem &74
2679pos 2
2680hidden 1
2681dimension 20
2682uid 206,0
2683)
2684*94 (MRCItem
2685litem &84
2686pos 0
2687dimension 20
2688uid 166,0
2689)
2690*95 (MRCItem
2691litem &85
2692pos 1
2693dimension 20
2694uid 168,0
2695)
2696*96 (MRCItem
2697litem &86
2698pos 2
2699dimension 20
2700uid 170,0
2701)
2702*97 (MRCItem
2703litem &87
2704pos 3
2705dimension 20
2706uid 172,0
2707)
2708*98 (MRCItem
2709litem &88
2710pos 4
2711dimension 20
2712uid 174,0
2713)
2714]
2715)
2716sheetCol (SheetCol
2717propVa (MVa
2718cellColor "0,49152,49152"
2719fontColor "0,0,0"
2720font "Tahoma,10,0"
2721textAngle 90
2722)
2723uid 207,0
2724optionalChildren [
2725*99 (MRCItem
2726litem &75
2727pos 0
2728dimension 20
2729uid 208,0
2730)
2731*100 (MRCItem
2732litem &77
2733pos 1
2734dimension 50
2735uid 209,0
2736)
2737*101 (MRCItem
2738litem &78
2739pos 2
2740dimension 100
2741uid 210,0
2742)
2743*102 (MRCItem
2744litem &79
2745pos 3
2746dimension 50
2747uid 211,0
2748)
2749*103 (MRCItem
2750litem &80
2751pos 4
2752dimension 100
2753uid 212,0
2754)
2755*104 (MRCItem
2756litem &81
2757pos 5
2758dimension 100
2759uid 213,0
2760)
2761*105 (MRCItem
2762litem &82
2763pos 6
2764dimension 50
2765uid 214,0
2766)
2767*106 (MRCItem
2768litem &83
2769pos 7
2770dimension 80
2771uid 215,0
2772)
2773]
2774)
2775fixedCol 4
2776fixedRow 2
2777name "Ports"
2778uid 202,0
2779vaOverrides [
2780]
2781)
2782]
2783)
2784uid 187,0
2785)
2786genericsCommonDM (CommonDM
2787ldm (LogicalDM
2788emptyRow *107 (LEmptyRow
2789)
2790uid 217,0
2791optionalChildren [
2792*108 (RefLabelRowHdr
2793)
2794*109 (TitleRowHdr
2795)
2796*110 (FilterRowHdr
2797)
2798*111 (RefLabelColHdr
2799tm "RefLabelColHdrMgr"
2800)
2801*112 (RowExpandColHdr
2802tm "RowExpandColHdrMgr"
2803)
2804*113 (GroupColHdr
2805tm "GroupColHdrMgr"
2806)
2807*114 (NameColHdr
2808tm "GenericNameColHdrMgr"
2809)
2810*115 (TypeColHdr
2811tm "GenericTypeColHdrMgr"
2812)
2813*116 (InitColHdr
2814tm "GenericValueColHdrMgr"
2815)
2816*117 (PragmaColHdr
2817tm "GenericPragmaColHdrMgr"
2818)
2819*118 (EolColHdr
2820tm "GenericEolColHdrMgr"
2821)
2822*119 (LogGeneric
2823generic (GiElement
2824name "FREQ_UPPER_LIMIT"
2825type "integer"
2826value "3000"
2827)
2828uid 9,0
2829)
2830*120 (LogGeneric
2831generic (GiElement
2832name "FREQ_LOWER_LIMIT"
2833type "integer"
2834value "300"
2835)
2836uid 11,0
2837)
2838]
2839)
2840pdm (PhysicalDM
2841displayShortBounds 1
2842editShortBounds 1
2843uid 229,0
2844optionalChildren [
2845*121 (Sheet
2846sheetRow (SheetRow
2847headerVa (MVa
2848cellColor "49152,49152,49152"
2849fontColor "0,0,0"
2850font "Tahoma,10,0"
2851)
2852cellVa (MVa
2853cellColor "65535,65535,65535"
2854fontColor "0,0,0"
2855font "Tahoma,10,0"
2856)
2857groupVa (MVa
2858cellColor "39936,56832,65280"
2859fontColor "0,0,0"
2860font "Tahoma,10,0"
2861)
2862emptyMRCItem *122 (MRCItem
2863litem &107
2864pos 2
2865dimension 20
2866)
2867uid 231,0
2868optionalChildren [
2869*123 (MRCItem
2870litem &108
2871pos 0
2872dimension 20
2873uid 232,0
2874)
2875*124 (MRCItem
2876litem &109
2877pos 1
2878dimension 23
2879uid 233,0
2880)
2881*125 (MRCItem
2882litem &110
2883pos 2
2884hidden 1
2885dimension 20
2886uid 234,0
2887)
2888*126 (MRCItem
2889litem &119
2890pos 0
2891dimension 20
2892uid 10,0
2893)
2894*127 (MRCItem
2895litem &120
2896pos 1
2897dimension 20
2898uid 12,0
2899)
2900]
2901)
2902sheetCol (SheetCol
2903propVa (MVa
2904cellColor "0,49152,49152"
2905fontColor "0,0,0"
2906font "Tahoma,10,0"
2907textAngle 90
2908)
2909uid 235,0
2910optionalChildren [
2911*128 (MRCItem
2912litem &111
2913pos 0
2914dimension 20
2915uid 236,0
2916)
2917*129 (MRCItem
2918litem &113
2919pos 1
2920dimension 50
2921uid 237,0
2922)
2923*130 (MRCItem
2924litem &114
2925pos 2
2926dimension 100
2927uid 238,0
2928)
2929*131 (MRCItem
2930litem &115
2931pos 3
2932dimension 100
2933uid 239,0
2934)
2935*132 (MRCItem
2936litem &116
2937pos 4
2938dimension 50
2939uid 240,0
2940)
2941*133 (MRCItem
2942litem &117
2943pos 5
2944dimension 50
2945uid 241,0
2946)
2947*134 (MRCItem
2948litem &118
2949pos 6
2950dimension 80
2951uid 242,0
2952)
2953]
2954)
2955fixedCol 3
2956fixedRow 2
2957name "Ports"
2958uid 230,0
2959vaOverrides [
2960]
2961)
2962]
2963)
2964uid 216,0
2965type 1
2966)
2967activeModelName "BlockDiag"
2968)
Note: See TracBrowser for help on using the repository browser.