source: firmware/FAD/FACT_FAD_TB_lib/hds/@r@e@f@c@l@k_counter_tb/symbol.sb.bak

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 17.5 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13]
14libraryRefs [
15"ieee"
16]
17)
18version "24.1"
19appVersion "2009.2 (Build 10)"
20model (Symbol
21commonDM (CommonDM
22ldm (LogicalDM
23usingSuid 1
24emptyRow *1 (LEmptyRow
25)
26uid 53,0
27optionalChildren [
28*2 (RefLabelRowHdr
29)
30*3 (TitleRowHdr
31)
32*4 (FilterRowHdr
33)
34*5 (RefLabelColHdr
35tm "RefLabelColHdrMgr"
36)
37*6 (RowExpandColHdr
38tm "RowExpandColHdrMgr"
39)
40*7 (GroupColHdr
41tm "GroupColHdrMgr"
42)
43*8 (NameColHdr
44tm "NameColHdrMgr"
45)
46*9 (ModeColHdr
47tm "ModeColHdrMgr"
48)
49*10 (TypeColHdr
50tm "TypeColHdrMgr"
51)
52*11 (BoundsColHdr
53tm "BoundsColHdrMgr"
54)
55*12 (InitColHdr
56tm "InitColHdrMgr"
57)
58*13 (EolColHdr
59tm "EolColHdrMgr"
60)
61]
62)
63pdm (PhysicalDM
64displayShortBounds 1
65editShortBounds 1
66uid 66,0
67optionalChildren [
68*14 (Sheet
69sheetRow (SheetRow
70headerVa (MVa
71cellColor "49152,49152,49152"
72fontColor "0,0,0"
73font "Tahoma,10,0"
74)
75cellVa (MVa
76cellColor "65535,65535,65535"
77fontColor "0,0,0"
78font "Tahoma,10,0"
79)
80groupVa (MVa
81cellColor "39936,56832,65280"
82fontColor "0,0,0"
83font "Tahoma,10,0"
84)
85emptyMRCItem *15 (MRCItem
86litem &1
87pos 3
88dimension 20
89)
90uid 68,0
91optionalChildren [
92*16 (MRCItem
93litem &2
94pos 0
95dimension 20
96uid 69,0
97)
98*17 (MRCItem
99litem &3
100pos 1
101dimension 23
102uid 70,0
103)
104*18 (MRCItem
105litem &4
106pos 2
107hidden 1
108dimension 20
109uid 71,0
110)
111]
112)
113sheetCol (SheetCol
114propVa (MVa
115cellColor "0,49152,49152"
116fontColor "0,0,0"
117font "Tahoma,10,0"
118textAngle 90
119)
120uid 72,0
121optionalChildren [
122*19 (MRCItem
123litem &5
124pos 0
125dimension 20
126uid 73,0
127)
128*20 (MRCItem
129litem &7
130pos 1
131dimension 50
132uid 74,0
133)
134*21 (MRCItem
135litem &8
136pos 2
137dimension 100
138uid 75,0
139)
140*22 (MRCItem
141litem &9
142pos 3
143dimension 50
144uid 76,0
145)
146*23 (MRCItem
147litem &10
148pos 4
149dimension 100
150uid 77,0
151)
152*24 (MRCItem
153litem &11
154pos 5
155dimension 100
156uid 78,0
157)
158*25 (MRCItem
159litem &12
160pos 6
161dimension 50
162uid 79,0
163)
164*26 (MRCItem
165litem &13
166pos 7
167dimension 80
168uid 80,0
169)
170]
171)
172fixedCol 4
173fixedRow 2
174name "Ports"
175uid 67,0
176vaOverrides [
177]
178)
179]
180)
181uid 52,0
182)
183genericsCommonDM (CommonDM
184ldm (LogicalDM
185emptyRow *27 (LEmptyRow
186)
187uid 82,0
188optionalChildren [
189*28 (RefLabelRowHdr
190)
191*29 (TitleRowHdr
192)
193*30 (FilterRowHdr
194)
195*31 (RefLabelColHdr
196tm "RefLabelColHdrMgr"
197)
198*32 (RowExpandColHdr
199tm "RowExpandColHdrMgr"
200)
201*33 (GroupColHdr
202tm "GroupColHdrMgr"
203)
204*34 (NameColHdr
205tm "GenericNameColHdrMgr"
206)
207*35 (TypeColHdr
208tm "GenericTypeColHdrMgr"
209)
210*36 (InitColHdr
211tm "GenericValueColHdrMgr"
212)
213*37 (PragmaColHdr
214tm "GenericPragmaColHdrMgr"
215)
216*38 (EolColHdr
217tm "GenericEolColHdrMgr"
218)
219*39 (LogGeneric
220generic (GiElement
221name "FREQ_UPPER_LIMIT"
222type "integer"
223value "3000"
224)
225uid 109,0
226)
227*40 (LogGeneric
228generic (GiElement
229name "FREQ_LOWER_LIMIT"
230type "integer"
231value "300"
232)
233uid 111,0
234)
235]
236)
237pdm (PhysicalDM
238displayShortBounds 1
239editShortBounds 1
240uid 94,0
241optionalChildren [
242*41 (Sheet
243sheetRow (SheetRow
244headerVa (MVa
245cellColor "49152,49152,49152"
246fontColor "0,0,0"
247font "Tahoma,10,0"
248)
249cellVa (MVa
250cellColor "65535,65535,65535"
251fontColor "0,0,0"
252font "Tahoma,10,0"
253)
254groupVa (MVa
255cellColor "39936,56832,65280"
256fontColor "0,0,0"
257font "Tahoma,10,0"
258)
259emptyMRCItem *42 (MRCItem
260litem &27
261pos 3
262dimension 20
263)
264uid 96,0
265optionalChildren [
266*43 (MRCItem
267litem &28
268pos 0
269dimension 20
270uid 97,0
271)
272*44 (MRCItem
273litem &29
274pos 1
275dimension 23
276uid 98,0
277)
278*45 (MRCItem
279litem &30
280pos 2
281hidden 1
282dimension 20
283uid 99,0
284)
285*46 (MRCItem
286litem &39
287pos 0
288dimension 20
289uid 108,0
290)
291*47 (MRCItem
292litem &40
293pos 1
294dimension 20
295uid 110,0
296)
297]
298)
299sheetCol (SheetCol
300propVa (MVa
301cellColor "0,49152,49152"
302fontColor "0,0,0"
303font "Tahoma,10,0"
304textAngle 90
305)
306uid 100,0
307optionalChildren [
308*48 (MRCItem
309litem &31
310pos 0
311dimension 20
312uid 101,0
313)
314*49 (MRCItem
315litem &33
316pos 1
317dimension 50
318uid 102,0
319)
320*50 (MRCItem
321litem &34
322pos 2
323dimension 100
324uid 103,0
325)
326*51 (MRCItem
327litem &35
328pos 3
329dimension 100
330uid 104,0
331)
332*52 (MRCItem
333litem &36
334pos 4
335dimension 50
336uid 105,0
337)
338*53 (MRCItem
339litem &37
340pos 5
341dimension 50
342uid 106,0
343)
344*54 (MRCItem
345litem &38
346pos 6
347dimension 80
348uid 107,0
349)
350]
351)
352fixedCol 3
353fixedRow 2
354name "Ports"
355uid 95,0
356vaOverrides [
357]
358)
359]
360)
361uid 81,0
362type 1
363)
364VExpander (VariableExpander
365vvMap [
366(vvPair
367variable "HDLDir"
368value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
369)
370(vvPair
371variable "HDSDir"
372value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
373)
374(vvPair
375variable "SideDataDesignDir"
376value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\symbol.sb.info"
377)
378(vvPair
379variable "SideDataUserDir"
380value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\symbol.sb.user"
381)
382(vvPair
383variable "SourceDir"
384value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
385)
386(vvPair
387variable "appl"
388value "HDL Designer"
389)
390(vvPair
391variable "arch_name"
392value "symbol"
393)
394(vvPair
395variable "config"
396value "%(unit)_%(view)_config"
397)
398(vvPair
399variable "d"
400value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb"
401)
402(vvPair
403variable "d_logical"
404value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tb"
405)
406(vvPair
407variable "date"
408value "04.02.2011"
409)
410(vvPair
411variable "day"
412value "Fr"
413)
414(vvPair
415variable "day_long"
416value "Freitag"
417)
418(vvPair
419variable "dd"
420value "04"
421)
422(vvPair
423variable "entity_name"
424value "REFCLK_counter_tb"
425)
426(vvPair
427variable "ext"
428value "<TBD>"
429)
430(vvPair
431variable "f"
432value "symbol.sb"
433)
434(vvPair
435variable "f_logical"
436value "symbol.sb"
437)
438(vvPair
439variable "f_noext"
440value "symbol"
441)
442(vvPair
443variable "group"
444value "UNKNOWN"
445)
446(vvPair
447variable "host"
448value "E5B-LABOR6"
449)
450(vvPair
451variable "language"
452value "VHDL"
453)
454(vvPair
455variable "library"
456value "FACT_FAD_TB_lib"
457)
458(vvPair
459variable "library_downstream_ISEPARInvoke"
460value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
461)
462(vvPair
463variable "library_downstream_ImpactInvoke"
464value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
465)
466(vvPair
467variable "library_downstream_ModelSimCompiler"
468value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
469)
470(vvPair
471variable "library_downstream_XSTDataPrep"
472value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
473)
474(vvPair
475variable "mm"
476value "02"
477)
478(vvPair
479variable "module_name"
480value "REFCLK_counter_tb"
481)
482(vvPair
483variable "month"
484value "Feb"
485)
486(vvPair
487variable "month_long"
488value "Februar"
489)
490(vvPair
491variable "p"
492value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tb\\symbol.sb"
493)
494(vvPair
495variable "p_logical"
496value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tb\\symbol.sb"
497)
498(vvPair
499variable "package_name"
500value "<Undefined Variable>"
501)
502(vvPair
503variable "project_name"
504value "FACT_FAD"
505)
506(vvPair
507variable "series"
508value "HDL Designer Series"
509)
510(vvPair
511variable "task_DesignCompilerPath"
512value "<TBD>"
513)
514(vvPair
515variable "task_LeonardoPath"
516value "<TBD>"
517)
518(vvPair
519variable "task_ModelSimPath"
520value "C:\\modeltech_6.6a\\win32"
521)
522(vvPair
523variable "task_NC-SimPath"
524value "<TBD>"
525)
526(vvPair
527variable "task_PrecisionRTLPath"
528value "<TBD>"
529)
530(vvPair
531variable "task_QuestaSimPath"
532value "<TBD>"
533)
534(vvPair
535variable "task_VCSPath"
536value "<TBD>"
537)
538(vvPair
539variable "this_ext"
540value "sb"
541)
542(vvPair
543variable "this_file"
544value "symbol"
545)
546(vvPair
547variable "this_file_logical"
548value "symbol"
549)
550(vvPair
551variable "time"
552value "08:51:47"
553)
554(vvPair
555variable "unit"
556value "REFCLK_counter_tb"
557)
558(vvPair
559variable "user"
560value "dneise"
561)
562(vvPair
563variable "version"
564value "2009.2 (Build 10)"
565)
566(vvPair
567variable "view"
568value "symbol"
569)
570(vvPair
571variable "year"
572value "2011"
573)
574(vvPair
575variable "yy"
576value "11"
577)
578]
579)
580LanguageMgr "VhdlLangMgr"
581uid 51,0
582optionalChildren [
583*55 (SymbolBody
584uid 8,0
585shape (Rectangle
586uid 9,0
587va (VaSet
588vasetType 1
589fg "0,65535,0"
590lineColor "0,32896,0"
591lineWidth 2
592)
593xt "15000,6000,33000,26000"
594)
595biTextGroup (BiTextGroup
596uid 10,0
597ps "CenterOffsetStrategy"
598stg "VerticalLayoutStrategy"
599first (Text
600uid 11,0
601va (VaSet
602font "Arial,8,1"
603)
604xt "22200,15000,29900,16000"
605st "FACT_FAD_TB_lib"
606blo "22200,15800"
607)
608second (Text
609uid 12,0
610va (VaSet
611font "Arial,8,1"
612)
613xt "22200,16000,30800,17000"
614st "REFCLK_counter_tb"
615blo "22200,16800"
616)
617)
618gi *56 (GenericInterface
619uid 13,0
620ps "CenterOffsetStrategy"
621matrix (Matrix
622uid 14,0
623text (MLText
624uid 15,0
625va (VaSet
626font "Courier New,8,0"
627)
628xt "0,12000,17000,15200"
629st "Generic Declarations
630
631FREQ_UPPER_LIMIT integer 3000
632FREQ_LOWER_LIMIT integer 300
633"
634)
635header "Generic Declarations"
636showHdrWhenContentsEmpty 1
637)
638elements [
639(GiElement
640name "FREQ_UPPER_LIMIT"
641type "integer"
642value "3000"
643)
644(GiElement
645name "FREQ_LOWER_LIMIT"
646type "integer"
647value "300"
648)
649]
650)
651portInstanceVisAsIs 1
652portInstanceVis (PortSigDisplay
653sIVOD 1
654)
655portVis (PortSigDisplay
656sIVOD 1
657)
658)
659*57 (Grouping
660uid 16,0
661optionalChildren [
662*58 (CommentText
663uid 18,0
664shape (Rectangle
665uid 19,0
666sl 0
667va (VaSet
668vasetType 1
669fg "65280,65280,46080"
670)
671xt "36000,48000,53000,49000"
672)
673oxt "18000,70000,35000,71000"
674text (MLText
675uid 20,0
676va (VaSet
677fg "0,0,32768"
678bg "0,0,32768"
679)
680xt "36200,48000,45800,49000"
681st "
682by %user on %dd %month %year
683"
684tm "CommentText"
685wrapOption 3
686visibleHeight 1000
687visibleWidth 17000
688)
689position 1
690ignorePrefs 1
691titleBlock 1
692)
693*59 (CommentText
694uid 21,0
695shape (Rectangle
696uid 22,0
697sl 0
698va (VaSet
699vasetType 1
700fg "65280,65280,46080"
701)
702xt "53000,44000,57000,45000"
703)
704oxt "35000,66000,39000,67000"
705text (MLText
706uid 23,0
707va (VaSet
708fg "0,0,32768"
709bg "0,0,32768"
710)
711xt "53200,44000,56200,45000"
712st "
713Project:
714"
715tm "CommentText"
716wrapOption 3
717visibleHeight 1000
718visibleWidth 4000
719)
720position 1
721ignorePrefs 1
722titleBlock 1
723)
724*60 (CommentText
725uid 24,0
726shape (Rectangle
727uid 25,0
728sl 0
729va (VaSet
730vasetType 1
731fg "65280,65280,46080"
732)
733xt "36000,46000,53000,47000"
734)
735oxt "18000,68000,35000,69000"
736text (MLText
737uid 26,0
738va (VaSet
739fg "0,0,32768"
740bg "0,0,32768"
741)
742xt "36200,46000,46200,47000"
743st "
744<enter diagram title here>
745"
746tm "CommentText"
747wrapOption 3
748visibleHeight 1000
749visibleWidth 17000
750)
751position 1
752ignorePrefs 1
753titleBlock 1
754)
755*61 (CommentText
756uid 27,0
757shape (Rectangle
758uid 28,0
759sl 0
760va (VaSet
761vasetType 1
762fg "65280,65280,46080"
763)
764xt "32000,46000,36000,47000"
765)
766oxt "14000,68000,18000,69000"
767text (MLText
768uid 29,0
769va (VaSet
770fg "0,0,32768"
771bg "0,0,32768"
772)
773xt "32200,46000,34300,47000"
774st "
775Title:
776"
777tm "CommentText"
778wrapOption 3
779visibleHeight 1000
780visibleWidth 4000
781)
782position 1
783ignorePrefs 1
784titleBlock 1
785)
786*62 (CommentText
787uid 30,0
788shape (Rectangle
789uid 31,0
790sl 0
791va (VaSet
792vasetType 1
793fg "65280,65280,46080"
794)
795xt "53000,45000,73000,49000"
796)
797oxt "35000,67000,55000,71000"
798text (MLText
799uid 32,0
800va (VaSet
801fg "0,0,32768"
802bg "0,0,32768"
803)
804xt "53200,45200,62400,46200"
805st "
806<enter comments here>
807"
808tm "CommentText"
809wrapOption 3
810visibleHeight 4000
811visibleWidth 20000
812)
813ignorePrefs 1
814titleBlock 1
815)
816*63 (CommentText
817uid 33,0
818shape (Rectangle
819uid 34,0
820sl 0
821va (VaSet
822vasetType 1
823fg "65280,65280,46080"
824)
825xt "57000,44000,73000,45000"
826)
827oxt "39000,66000,55000,67000"
828text (MLText
829uid 35,0
830va (VaSet
831fg "0,0,32768"
832bg "0,0,32768"
833)
834xt "57200,44000,61700,45000"
835st "
836%project_name
837"
838tm "CommentText"
839wrapOption 3
840visibleHeight 1000
841visibleWidth 16000
842)
843position 1
844ignorePrefs 1
845titleBlock 1
846)
847*64 (CommentText
848uid 36,0
849shape (Rectangle
850uid 37,0
851sl 0
852va (VaSet
853vasetType 1
854fg "65280,65280,46080"
855)
856xt "32000,44000,53000,46000"
857)
858oxt "14000,66000,35000,68000"
859text (MLText
860uid 38,0
861va (VaSet
862fg "32768,0,0"
863)
864xt "39150,44500,45850,45500"
865st "
866<company name>
867"
868ju 0
869tm "CommentText"
870wrapOption 3
871visibleHeight 2000
872visibleWidth 21000
873)
874position 1
875ignorePrefs 1
876titleBlock 1
877)
878*65 (CommentText
879uid 39,0
880shape (Rectangle
881uid 40,0
882sl 0
883va (VaSet
884vasetType 1
885fg "65280,65280,46080"
886)
887xt "32000,47000,36000,48000"
888)
889oxt "14000,69000,18000,70000"
890text (MLText
891uid 41,0
892va (VaSet
893fg "0,0,32768"
894bg "0,0,32768"
895)
896xt "32200,47000,34300,48000"
897st "
898Path:
899"
900tm "CommentText"
901wrapOption 3
902visibleHeight 1000
903visibleWidth 4000
904)
905position 1
906ignorePrefs 1
907titleBlock 1
908)
909*66 (CommentText
910uid 42,0
911shape (Rectangle
912uid 43,0
913sl 0
914va (VaSet
915vasetType 1
916fg "65280,65280,46080"
917)
918xt "32000,48000,36000,49000"
919)
920oxt "14000,70000,18000,71000"
921text (MLText
922uid 44,0
923va (VaSet
924fg "0,0,32768"
925bg "0,0,32768"
926)
927xt "32200,48000,34900,49000"
928st "
929Edited:
930"
931tm "CommentText"
932wrapOption 3
933visibleHeight 1000
934visibleWidth 4000
935)
936position 1
937ignorePrefs 1
938titleBlock 1
939)
940*67 (CommentText
941uid 45,0
942shape (Rectangle
943uid 46,0
944sl 0
945va (VaSet
946vasetType 1
947fg "65280,65280,46080"
948)
949xt "36000,47000,53000,48000"
950)
951oxt "18000,69000,35000,70000"
952text (MLText
953uid 47,0
954va (VaSet
955fg "0,0,32768"
956bg "0,0,32768"
957)
958xt "36200,47000,51500,48000"
959st "
960%library/%unit/%view
961"
962tm "CommentText"
963wrapOption 3
964visibleHeight 1000
965visibleWidth 17000
966)
967position 1
968ignorePrefs 1
969titleBlock 1
970)
971]
972shape (GroupingShape
973uid 17,0
974va (VaSet
975vasetType 1
976fg "65535,65535,65535"
977lineStyle 2
978lineWidth 2
979)
980xt "32000,44000,73000,49000"
981)
982oxt "14000,66000,55000,71000"
983)
984]
985bg "65535,65535,65535"
986grid (Grid
987origin "0,0"
988isVisible 1
989isActive 1
990xSpacing 1000
991xySpacing 1000
992xShown 1
993yShown 1
994color "26368,26368,26368"
995)
996packageList *68 (PackageList
997uid 48,0
998stg "VerticalLayoutStrategy"
999textVec [
1000*69 (Text
1001uid 49,0
1002va (VaSet
1003font "arial,8,1"
1004)
1005xt "0,0,5400,1000"
1006st "Package List"
1007blo "0,800"
1008)
1009*70 (MLText
1010uid 50,0
1011va (VaSet
1012)
1013xt "0,1000,10900,4000"
1014st "LIBRARY ieee;
1015USE ieee.std_logic_1164.all;
1016USE ieee.std_logic_arith.all;"
1017tm "PackageList"
1018)
1019]
1020)
1021windowSize "0,0,1015,690"
1022viewArea "0,0,0,0"
1023cachedDiagramExtent "0,0,0,0"
1024pageBreakOrigin "0,0"
1025defaultCommentText (CommentText
1026shape (Rectangle
1027layer 0
1028va (VaSet
1029vasetType 1
1030fg "65280,65280,46080"
1031lineColor "0,0,32768"
1032)
1033xt "0,0,15000,5000"
1034)
1035text (MLText
1036va (VaSet
1037fg "0,0,32768"
1038)
1039xt "200,200,2000,1200"
1040st "
1041Text
1042"
1043tm "CommentText"
1044wrapOption 3
1045visibleHeight 4600
1046visibleWidth 14600
1047)
1048)
1049defaultPanel (Panel
1050shape (RectFrame
1051va (VaSet
1052vasetType 1
1053fg "65535,65535,65535"
1054lineColor "32768,0,0"
1055lineWidth 3
1056)
1057xt "0,0,20000,20000"
1058)
1059title (TextAssociate
1060ps "TopLeftStrategy"
1061text (Text
1062va (VaSet
1063font "Arial,8,1"
1064)
1065xt "1000,1000,3800,2000"
1066st "Panel0"
1067blo "1000,1800"
1068tm "PanelText"
1069)
1070)
1071)
1072parentGraphicsRef (HdmGraphicsRef
1073libraryName ""
1074entityName ""
1075viewName ""
1076)
1077defaultSymbolBody (SymbolBody
1078shape (Rectangle
1079va (VaSet
1080vasetType 1
1081fg "0,65535,0"
1082lineColor "0,32896,0"
1083lineWidth 2
1084)
1085xt "15000,6000,33000,26000"
1086)
1087biTextGroup (BiTextGroup
1088ps "CenterOffsetStrategy"
1089stg "VerticalLayoutStrategy"
1090first (Text
1091va (VaSet
1092font "Arial,8,1"
1093)
1094xt "22200,15000,25800,16000"
1095st "<library>"
1096blo "22200,15800"
1097)
1098second (Text
1099va (VaSet
1100font "Arial,8,1"
1101)
1102xt "22200,16000,24800,17000"
1103st "<cell>"
1104blo "22200,16800"
1105)
1106)
1107gi *71 (GenericInterface
1108ps "CenterOffsetStrategy"
1109matrix (Matrix
1110text (MLText
1111va (VaSet
1112font "Courier New,8,0"
1113)
1114xt "0,12000,11500,12800"
1115st "Generic Declarations"
1116)
1117header "Generic Declarations"
1118showHdrWhenContentsEmpty 1
1119)
1120elements [
1121]
1122)
1123portInstanceVisAsIs 1
1124portInstanceVis (PortSigDisplay
1125sIVOD 1
1126)
1127portVis (PortSigDisplay
1128sIVOD 1
1129)
1130)
1131defaultCptPort (CptPort
1132ps "OnEdgeStrategy"
1133shape (Triangle
1134ro 90
1135va (VaSet
1136vasetType 1
1137fg "0,65535,0"
1138)
1139xt "0,0,750,750"
1140)
1141tg (CPTG
1142ps "CptPortTextPlaceStrategy"
1143stg "VerticalLayoutStrategy"
1144f (Text
1145va (VaSet
1146)
1147xt "0,750,1400,1750"
1148st "In0"
1149blo "0,1550"
1150tm "CptPortNameMgr"
1151)
1152)
1153dt (MLText
1154va (VaSet
1155font "Courier New,8,0"
1156)
1157)
1158thePort (LogicalPort
1159decl (Decl
1160n "In0"
1161t "std_logic_vector"
1162b "(15 DOWNTO 0)"
1163o 0
1164)
1165)
1166)
1167defaultCptPortBuffer (CptPort
1168ps "OnEdgeStrategy"
1169shape (Diamond
1170va (VaSet
1171vasetType 1
1172fg "65535,65535,65535"
1173bg "0,0,0"
1174)
1175xt "0,0,750,750"
1176)
1177tg (CPTG
1178ps "CptPortTextPlaceStrategy"
1179stg "VerticalLayoutStrategy"
1180f (Text
1181va (VaSet
1182)
1183xt "0,750,2800,1750"
1184st "Buffer0"
1185blo "0,1550"
1186tm "CptPortNameMgr"
1187)
1188)
1189dt (MLText
1190va (VaSet
1191font "Courier New,8,0"
1192)
1193)
1194thePort (LogicalPort
1195m 3
1196decl (Decl
1197n "Buffer0"
1198t "std_logic_vector"
1199b "(15 DOWNTO 0)"
1200o 0
1201)
1202)
1203)
1204DeclarativeBlock *72 (SymDeclBlock
1205uid 1,0
1206stg "SymDeclLayoutStrategy"
1207declLabel (Text
1208uid 2,0
1209va (VaSet
1210font "Arial,8,1"
1211)
1212xt "42000,0,47400,1000"
1213st "Declarations"
1214blo "42000,800"
1215)
1216portLabel (Text
1217uid 3,0
1218va (VaSet
1219font "Arial,8,1"
1220)
1221xt "42000,1000,44700,2000"
1222st "Ports:"
1223blo "42000,1800"
1224)
1225externalLabel (Text
1226uid 4,0
1227va (VaSet
1228font "Arial,8,1"
1229)
1230xt "42000,2000,44400,3000"
1231st "User:"
1232blo "42000,2800"
1233)
1234internalLabel (Text
1235uid 6,0
1236va (VaSet
1237isHidden 1
1238font "Arial,8,1"
1239)
1240xt "42000,0,47800,1000"
1241st "Internal User:"
1242blo "42000,800"
1243)
1244externalText (MLText
1245uid 5,0
1246va (VaSet
1247font "Courier New,8,0"
1248)
1249xt "44000,3000,44000,3000"
1250tm "SyDeclarativeTextMgr"
1251)
1252internalText (MLText
1253uid 7,0
1254va (VaSet
1255isHidden 1
1256font "Courier New,8,0"
1257)
1258xt "42000,0,42000,0"
1259tm "SyDeclarativeTextMgr"
1260)
1261)
1262lastUid 111,0
1263activeModelName "Symbol:CDM"
1264)
Note: See TracBrowser for help on using the repository browser.