source: firmware/FAD/FACT_FAD_TB_lib/hds/@r@e@f@c@l@k_counter_tester/interface

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 20.8 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "NUMERIC_STD"
13itemName "ALL"
14)
15(DmPackageRef
16library "FACT_FAD_lib"
17unitName "fad_definitions"
18itemName "ALL"
19)
20(DmPackageRef
21library "ieee"
22unitName "std_logic_unsigned"
23)
24]
25libraryRefs [
26"ieee"
27"FACT_FAD_lib"
28]
29)
30version "24.1"
31appVersion "2009.1 (Build 12)"
32model (Symbol
33commonDM (CommonDM
34ldm (LogicalDM
35ordering 1
36suid 68,0
37usingSuid 1
38emptyRow *1 (LEmptyRow
39)
40uid 88,0
41optionalChildren [
42*2 (RefLabelRowHdr
43)
44*3 (TitleRowHdr
45)
46*4 (FilterRowHdr
47)
48*5 (RefLabelColHdr
49tm "RefLabelColHdrMgr"
50)
51*6 (RowExpandColHdr
52tm "RowExpandColHdrMgr"
53)
54*7 (GroupColHdr
55tm "GroupColHdrMgr"
56)
57*8 (NameColHdr
58tm "NameColHdrMgr"
59)
60*9 (ModeColHdr
61tm "ModeColHdrMgr"
62)
63*10 (TypeColHdr
64tm "TypeColHdrMgr"
65)
66*11 (BoundsColHdr
67tm "BoundsColHdrMgr"
68)
69*12 (InitColHdr
70tm "InitColHdrMgr"
71)
72*13 (EolColHdr
73tm "EolColHdrMgr"
74)
75*14 (LogPort
76port (LogicalPort
77lang 10
78decl (Decl
79n "alarm_refclk_too_high"
80t "std_logic"
81o 1
82suid 64,0
83)
84)
85uid 896,0
86)
87*15 (LogPort
88port (LogicalPort
89lang 10
90decl (Decl
91n "alarm_refclk_too_low"
92t "std_logic"
93o 2
94suid 65,0
95)
96)
97uid 898,0
98)
99*16 (LogPort
100port (LogicalPort
101m 1
102decl (Decl
103n "clk"
104t "std_logic"
105preAdd 0
106posAdd 0
107o 4
108suid 66,0
109)
110)
111uid 900,0
112)
113*17 (LogPort
114port (LogicalPort
115lang 10
116decl (Decl
117n "counter_result"
118t "std_logic_vector"
119b "(11 DOWNTO 0)"
120o 3
121suid 67,0
122)
123)
124uid 902,0
125)
126*18 (LogPort
127port (LogicalPort
128m 1
129decl (Decl
130n "refclk_in"
131t "std_logic"
132o 5
133suid 68,0
134)
135)
136uid 904,0
137)
138]
139)
140pdm (PhysicalDM
141displayShortBounds 1
142editShortBounds 1
143uid 101,0
144optionalChildren [
145*19 (Sheet
146sheetRow (SheetRow
147headerVa (MVa
148cellColor "49152,49152,49152"
149fontColor "0,0,0"
150font "Tahoma,10,0"
151)
152cellVa (MVa
153cellColor "65535,65535,65535"
154fontColor "0,0,0"
155font "Tahoma,10,0"
156)
157groupVa (MVa
158cellColor "39936,56832,65280"
159fontColor "0,0,0"
160font "Tahoma,10,0"
161)
162emptyMRCItem *20 (MRCItem
163litem &1
164pos 3
165dimension 20
166)
167uid 103,0
168optionalChildren [
169*21 (MRCItem
170litem &2
171pos 0
172dimension 20
173uid 104,0
174)
175*22 (MRCItem
176litem &3
177pos 1
178dimension 23
179uid 105,0
180)
181*23 (MRCItem
182litem &4
183pos 2
184hidden 1
185dimension 20
186uid 106,0
187)
188*24 (MRCItem
189litem &14
190pos 0
191dimension 20
192uid 897,0
193)
194*25 (MRCItem
195litem &15
196pos 1
197dimension 20
198uid 899,0
199)
200*26 (MRCItem
201litem &16
202pos 2
203dimension 20
204uid 901,0
205)
206*27 (MRCItem
207litem &17
208pos 3
209dimension 20
210uid 903,0
211)
212*28 (MRCItem
213litem &18
214pos 4
215dimension 20
216uid 905,0
217)
218]
219)
220sheetCol (SheetCol
221propVa (MVa
222cellColor "0,49152,49152"
223fontColor "0,0,0"
224font "Tahoma,10,0"
225textAngle 90
226)
227uid 107,0
228optionalChildren [
229*29 (MRCItem
230litem &5
231pos 0
232dimension 20
233uid 108,0
234)
235*30 (MRCItem
236litem &7
237pos 1
238dimension 50
239uid 109,0
240)
241*31 (MRCItem
242litem &8
243pos 2
244dimension 100
245uid 110,0
246)
247*32 (MRCItem
248litem &9
249pos 3
250dimension 50
251uid 111,0
252)
253*33 (MRCItem
254litem &10
255pos 4
256dimension 100
257uid 112,0
258)
259*34 (MRCItem
260litem &11
261pos 5
262dimension 100
263uid 113,0
264)
265*35 (MRCItem
266litem &12
267pos 6
268dimension 50
269uid 114,0
270)
271*36 (MRCItem
272litem &13
273pos 7
274dimension 80
275uid 115,0
276)
277]
278)
279fixedCol 4
280fixedRow 2
281name "Ports"
282uid 102,0
283vaOverrides [
284]
285)
286]
287)
288uid 87,0
289)
290genericsCommonDM (CommonDM
291ldm (LogicalDM
292emptyRow *37 (LEmptyRow
293)
294uid 117,0
295optionalChildren [
296*38 (RefLabelRowHdr
297)
298*39 (TitleRowHdr
299)
300*40 (FilterRowHdr
301)
302*41 (RefLabelColHdr
303tm "RefLabelColHdrMgr"
304)
305*42 (RowExpandColHdr
306tm "RowExpandColHdrMgr"
307)
308*43 (GroupColHdr
309tm "GroupColHdrMgr"
310)
311*44 (NameColHdr
312tm "GenericNameColHdrMgr"
313)
314*45 (TypeColHdr
315tm "GenericTypeColHdrMgr"
316)
317*46 (InitColHdr
318tm "GenericValueColHdrMgr"
319)
320*47 (PragmaColHdr
321tm "GenericPragmaColHdrMgr"
322)
323*48 (EolColHdr
324tm "GenericEolColHdrMgr"
325)
326]
327)
328pdm (PhysicalDM
329displayShortBounds 1
330editShortBounds 1
331uid 129,0
332optionalChildren [
333*49 (Sheet
334sheetRow (SheetRow
335headerVa (MVa
336cellColor "49152,49152,49152"
337fontColor "0,0,0"
338font "Tahoma,10,0"
339)
340cellVa (MVa
341cellColor "65535,65535,65535"
342fontColor "0,0,0"
343font "Tahoma,10,0"
344)
345groupVa (MVa
346cellColor "39936,56832,65280"
347fontColor "0,0,0"
348font "Tahoma,10,0"
349)
350emptyMRCItem *50 (MRCItem
351litem &37
352pos 3
353dimension 20
354)
355uid 131,0
356optionalChildren [
357*51 (MRCItem
358litem &38
359pos 0
360dimension 20
361uid 132,0
362)
363*52 (MRCItem
364litem &39
365pos 1
366dimension 23
367uid 133,0
368)
369*53 (MRCItem
370litem &40
371pos 2
372hidden 1
373dimension 20
374uid 134,0
375)
376]
377)
378sheetCol (SheetCol
379propVa (MVa
380cellColor "0,49152,49152"
381fontColor "0,0,0"
382font "Tahoma,10,0"
383textAngle 90
384)
385uid 135,0
386optionalChildren [
387*54 (MRCItem
388litem &41
389pos 0
390dimension 20
391uid 136,0
392)
393*55 (MRCItem
394litem &43
395pos 1
396dimension 50
397uid 137,0
398)
399*56 (MRCItem
400litem &44
401pos 2
402dimension 100
403uid 138,0
404)
405*57 (MRCItem
406litem &45
407pos 3
408dimension 100
409uid 139,0
410)
411*58 (MRCItem
412litem &46
413pos 4
414dimension 50
415uid 140,0
416)
417*59 (MRCItem
418litem &47
419pos 5
420dimension 50
421uid 141,0
422)
423*60 (MRCItem
424litem &48
425pos 6
426dimension 80
427uid 142,0
428)
429]
430)
431fixedCol 3
432fixedRow 2
433name "Ports"
434uid 130,0
435vaOverrides [
436]
437)
438]
439)
440uid 116,0
441type 1
442)
443VExpander (VariableExpander
444vvMap [
445(vvPair
446variable "HDLDir"
447value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
448)
449(vvPair
450variable "HDSDir"
451value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
452)
453(vvPair
454variable "SideDataDesignDir"
455value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface.info"
456)
457(vvPair
458variable "SideDataUserDir"
459value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface.user"
460)
461(vvPair
462variable "SourceDir"
463value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
464)
465(vvPair
466variable "appl"
467value "HDL Designer"
468)
469(vvPair
470variable "arch_name"
471value "interface"
472)
473(vvPair
474variable "config"
475value "%(unit)_%(view)_config"
476)
477(vvPair
478variable "d"
479value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester"
480)
481(vvPair
482variable "d_logical"
483value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tester"
484)
485(vvPair
486variable "date"
487value "03.03.2011"
488)
489(vvPair
490variable "day"
491value "Do"
492)
493(vvPair
494variable "day_long"
495value "Donnerstag"
496)
497(vvPair
498variable "dd"
499value "03"
500)
501(vvPair
502variable "entity_name"
503value "REFCLK_counter_tester"
504)
505(vvPair
506variable "ext"
507value "<TBD>"
508)
509(vvPair
510variable "f"
511value "interface"
512)
513(vvPair
514variable "f_logical"
515value "interface"
516)
517(vvPair
518variable "f_noext"
519value "interface"
520)
521(vvPair
522variable "group"
523value "UNKNOWN"
524)
525(vvPair
526variable "host"
527value "IHP110"
528)
529(vvPair
530variable "language"
531value "VHDL"
532)
533(vvPair
534variable "library"
535value "FACT_FAD_TB_lib"
536)
537(vvPair
538variable "library_downstream_HdsLintPlugin"
539value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
540)
541(vvPair
542variable "library_downstream_ISEPARInvoke"
543value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
544)
545(vvPair
546variable "library_downstream_ImpactInvoke"
547value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
548)
549(vvPair
550variable "library_downstream_ModelSimCompiler"
551value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
552)
553(vvPair
554variable "library_downstream_XSTDataPrep"
555value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
556)
557(vvPair
558variable "mm"
559value "03"
560)
561(vvPair
562variable "module_name"
563value "REFCLK_counter_tester"
564)
565(vvPair
566variable "month"
567value "Mrz"
568)
569(vvPair
570variable "month_long"
571value "März"
572)
573(vvPair
574variable "p"
575value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\@r@e@f@c@l@k_counter_tester\\interface"
576)
577(vvPair
578variable "p_logical"
579value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\REFCLK_counter_tester\\interface"
580)
581(vvPair
582variable "package_name"
583value "<Undefined Variable>"
584)
585(vvPair
586variable "project_name"
587value "FACT_FAD"
588)
589(vvPair
590variable "series"
591value "HDL Designer Series"
592)
593(vvPair
594variable "task_DesignCompilerPath"
595value "<TBD>"
596)
597(vvPair
598variable "task_LeonardoPath"
599value "<TBD>"
600)
601(vvPair
602variable "task_ModelSimPath"
603value "D:\\modeltech_6.5e\\win32"
604)
605(vvPair
606variable "task_NC-SimPath"
607value "<TBD>"
608)
609(vvPair
610variable "task_PrecisionRTLPath"
611value "<TBD>"
612)
613(vvPair
614variable "task_QuestaSimPath"
615value "<TBD>"
616)
617(vvPair
618variable "task_VCSPath"
619value "<TBD>"
620)
621(vvPair
622variable "this_ext"
623value "<TBD>"
624)
625(vvPair
626variable "this_file"
627value "interface"
628)
629(vvPair
630variable "this_file_logical"
631value "interface"
632)
633(vvPair
634variable "time"
635value "18:11:04"
636)
637(vvPair
638variable "unit"
639value "REFCLK_counter_tester"
640)
641(vvPair
642variable "user"
643value "daqct3"
644)
645(vvPair
646variable "version"
647value "2009.1 (Build 12)"
648)
649(vvPair
650variable "view"
651value "interface"
652)
653(vvPair
654variable "year"
655value "2011"
656)
657(vvPair
658variable "yy"
659value "11"
660)
661]
662)
663LanguageMgr "VhdlLangMgr"
664uid 86,0
665optionalChildren [
666*61 (SymbolBody
667uid 8,0
668optionalChildren [
669*62 (CptPort
670uid 871,0
671ps "OnEdgeStrategy"
672shape (Triangle
673uid 872,0
674ro 270
675va (VaSet
676vasetType 1
677fg "0,65535,0"
678)
679xt "30000,7625,30750,8375"
680)
681tg (CPTG
682uid 873,0
683ps "CptPortTextPlaceStrategy"
684stg "RightVerticalLayoutStrategy"
685f (Text
686uid 874,0
687va (VaSet
688)
689xt "19000,7500,29000,8500"
690st "alarm_refclk_too_high"
691ju 2
692blo "29000,8300"
693tm "CptPortNameMgr"
694)
695)
696dt (MLText
697uid 875,0
698va (VaSet
699)
700)
701thePort (LogicalPort
702lang 10
703decl (Decl
704n "alarm_refclk_too_high"
705t "std_logic"
706o 1
707suid 64,0
708)
709)
710)
711*63 (CptPort
712uid 876,0
713ps "OnEdgeStrategy"
714shape (Triangle
715uid 877,0
716ro 270
717va (VaSet
718vasetType 1
719fg "0,65535,0"
720)
721xt "30000,8625,30750,9375"
722)
723tg (CPTG
724uid 878,0
725ps "CptPortTextPlaceStrategy"
726stg "RightVerticalLayoutStrategy"
727f (Text
728uid 879,0
729va (VaSet
730)
731xt "19400,8500,29000,9500"
732st "alarm_refclk_too_low"
733ju 2
734blo "29000,9300"
735tm "CptPortNameMgr"
736)
737)
738dt (MLText
739uid 880,0
740va (VaSet
741)
742)
743thePort (LogicalPort
744lang 10
745decl (Decl
746n "alarm_refclk_too_low"
747t "std_logic"
748o 2
749suid 65,0
750)
751)
752)
753*64 (CptPort
754uid 881,0
755ps "OnEdgeStrategy"
756shape (Triangle
757uid 882,0
758ro 270
759va (VaSet
760vasetType 1
761fg "0,65535,0"
762)
763xt "14250,8625,15000,9375"
764)
765tg (CPTG
766uid 883,0
767ps "CptPortTextPlaceStrategy"
768stg "VerticalLayoutStrategy"
769f (Text
770uid 884,0
771va (VaSet
772)
773xt "16000,8500,17500,9500"
774st "clk"
775blo "16000,9300"
776tm "CptPortNameMgr"
777)
778)
779dt (MLText
780uid 885,0
781va (VaSet
782)
783)
784thePort (LogicalPort
785m 1
786decl (Decl
787n "clk"
788t "std_logic"
789preAdd 0
790posAdd 0
791o 4
792suid 66,0
793)
794)
795)
796*65 (CptPort
797uid 886,0
798ps "OnEdgeStrategy"
799shape (Triangle
800uid 887,0
801ro 270
802va (VaSet
803vasetType 1
804fg "0,65535,0"
805)
806xt "30000,6625,30750,7375"
807)
808tg (CPTG
809uid 888,0
810ps "CptPortTextPlaceStrategy"
811stg "RightVerticalLayoutStrategy"
812f (Text
813uid 889,0
814va (VaSet
815)
816xt "22600,6500,29000,7500"
817st "counter_result"
818ju 2
819blo "29000,7300"
820tm "CptPortNameMgr"
821)
822)
823dt (MLText
824uid 890,0
825va (VaSet
826)
827)
828thePort (LogicalPort
829lang 10
830decl (Decl
831n "counter_result"
832t "std_logic_vector"
833b "(11 DOWNTO 0)"
834o 3
835suid 67,0
836)
837)
838)
839*66 (CptPort
840uid 891,0
841ps "OnEdgeStrategy"
842shape (Triangle
843uid 892,0
844ro 270
845va (VaSet
846vasetType 1
847fg "0,65535,0"
848)
849xt "14250,7625,15000,8375"
850)
851tg (CPTG
852uid 893,0
853ps "CptPortTextPlaceStrategy"
854stg "VerticalLayoutStrategy"
855f (Text
856uid 894,0
857va (VaSet
858)
859xt "16000,7500,19800,8500"
860st "refclk_in"
861blo "16000,8300"
862tm "CptPortNameMgr"
863)
864)
865dt (MLText
866uid 895,0
867va (VaSet
868)
869)
870thePort (LogicalPort
871m 1
872decl (Decl
873n "refclk_in"
874t "std_logic"
875o 5
876suid 68,0
877)
878)
879)
880]
881shape (Rectangle
882uid 9,0
883va (VaSet
884vasetType 1
885fg "0,65535,0"
886lineColor "0,32896,0"
887lineWidth 2
888)
889xt "15000,6000,30000,11000"
890)
891oxt "15000,6000,30000,10000"
892biTextGroup (BiTextGroup
893uid 10,0
894ps "CenterOffsetStrategy"
895stg "VerticalLayoutStrategy"
896first (Text
897uid 11,0
898va (VaSet
899font "Arial,8,1"
900)
901xt "17350,7500,25050,8500"
902st "FACT_FAD_TB_lib"
903blo "17350,8300"
904)
905second (Text
906uid 12,0
907va (VaSet
908font "Arial,8,1"
909)
910xt "17350,8500,27650,9500"
911st "REFCLK_counter_tester"
912blo "17350,9300"
913)
914)
915gi *67 (GenericInterface
916uid 13,0
917ps "CenterOffsetStrategy"
918matrix (Matrix
919uid 14,0
920text (MLText
921uid 15,0
922va (VaSet
923font "Courier New,8,0"
924)
925xt "-1500,4500,10000,5300"
926st "Generic Declarations"
927)
928header "Generic Declarations"
929showHdrWhenContentsEmpty 1
930)
931elements [
932]
933)
934portInstanceVisAsIs 1
935portInstanceVis (PortSigDisplay
936sTC 0
937sF 0
938)
939portVis (PortSigDisplay
940sTC 0
941sF 0
942)
943)
944*68 (Grouping
945uid 16,0
946optionalChildren [
947*69 (CommentText
948uid 18,0
949shape (Rectangle
950uid 19,0
951sl 0
952va (VaSet
953vasetType 1
954fg "65280,65280,46080"
955)
956xt "36000,48000,53000,49000"
957)
958oxt "18000,70000,35000,71000"
959text (MLText
960uid 20,0
961va (VaSet
962fg "0,0,32768"
963bg "0,0,32768"
964)
965xt "36200,48000,47300,49000"
966st "
967by %user on %dd %month %year
968"
969tm "CommentText"
970wrapOption 3
971visibleHeight 1000
972visibleWidth 17000
973)
974position 1
975ignorePrefs 1
976titleBlock 1
977)
978*70 (CommentText
979uid 21,0
980shape (Rectangle
981uid 22,0
982sl 0
983va (VaSet
984vasetType 1
985fg "65280,65280,46080"
986)
987xt "53000,44000,57000,45000"
988)
989oxt "35000,66000,39000,67000"
990text (MLText
991uid 23,0
992va (VaSet
993fg "0,0,32768"
994bg "0,0,32768"
995)
996xt "53200,44000,56500,45000"
997st "
998Project:
999"
1000tm "CommentText"
1001wrapOption 3
1002visibleHeight 1000
1003visibleWidth 4000
1004)
1005position 1
1006ignorePrefs 1
1007titleBlock 1
1008)
1009*71 (CommentText
1010uid 24,0
1011shape (Rectangle
1012uid 25,0
1013sl 0
1014va (VaSet
1015vasetType 1
1016fg "65280,65280,46080"
1017)
1018xt "36000,46000,53000,47000"
1019)
1020oxt "18000,68000,35000,69000"
1021text (MLText
1022uid 26,0
1023va (VaSet
1024fg "0,0,32768"
1025bg "0,0,32768"
1026)
1027xt "36200,46000,47100,47000"
1028st "
1029<enter diagram title here>
1030"
1031tm "CommentText"
1032wrapOption 3
1033visibleHeight 1000
1034visibleWidth 17000
1035)
1036position 1
1037ignorePrefs 1
1038titleBlock 1
1039)
1040*72 (CommentText
1041uid 27,0
1042shape (Rectangle
1043uid 28,0
1044sl 0
1045va (VaSet
1046vasetType 1
1047fg "65280,65280,46080"
1048)
1049xt "32000,46000,36000,47000"
1050)
1051oxt "14000,68000,18000,69000"
1052text (MLText
1053uid 29,0
1054va (VaSet
1055fg "0,0,32768"
1056bg "0,0,32768"
1057)
1058xt "32200,46000,34500,47000"
1059st "
1060Title:
1061"
1062tm "CommentText"
1063wrapOption 3
1064visibleHeight 1000
1065visibleWidth 4000
1066)
1067position 1
1068ignorePrefs 1
1069titleBlock 1
1070)
1071*73 (CommentText
1072uid 30,0
1073shape (Rectangle
1074uid 31,0
1075sl 0
1076va (VaSet
1077vasetType 1
1078fg "65280,65280,46080"
1079)
1080xt "53000,45000,73000,49000"
1081)
1082oxt "35000,67000,55000,71000"
1083text (MLText
1084uid 32,0
1085va (VaSet
1086fg "0,0,32768"
1087bg "0,0,32768"
1088)
1089xt "53200,45200,63000,46200"
1090st "
1091<enter comments here>
1092"
1093tm "CommentText"
1094wrapOption 3
1095visibleHeight 4000
1096visibleWidth 20000
1097)
1098ignorePrefs 1
1099titleBlock 1
1100)
1101*74 (CommentText
1102uid 33,0
1103shape (Rectangle
1104uid 34,0
1105sl 0
1106va (VaSet
1107vasetType 1
1108fg "65280,65280,46080"
1109)
1110xt "57000,44000,73000,45000"
1111)
1112oxt "39000,66000,55000,67000"
1113text (MLText
1114uid 35,0
1115va (VaSet
1116fg "0,0,32768"
1117bg "0,0,32768"
1118)
1119xt "57200,44000,61900,45000"
1120st "
1121%project_name
1122"
1123tm "CommentText"
1124wrapOption 3
1125visibleHeight 1000
1126visibleWidth 16000
1127)
1128position 1
1129ignorePrefs 1
1130titleBlock 1
1131)
1132*75 (CommentText
1133uid 36,0
1134shape (Rectangle
1135uid 37,0
1136sl 0
1137va (VaSet
1138vasetType 1
1139fg "65280,65280,46080"
1140)
1141xt "32000,44000,53000,46000"
1142)
1143oxt "14000,66000,35000,68000"
1144text (MLText
1145uid 38,0
1146va (VaSet
1147fg "32768,0,0"
1148)
1149xt "39200,44500,45800,45500"
1150st "
1151<company name>
1152"
1153ju 0
1154tm "CommentText"
1155wrapOption 3
1156visibleHeight 2000
1157visibleWidth 21000
1158)
1159position 1
1160ignorePrefs 1
1161titleBlock 1
1162)
1163*76 (CommentText
1164uid 39,0
1165shape (Rectangle
1166uid 40,0
1167sl 0
1168va (VaSet
1169vasetType 1
1170fg "65280,65280,46080"
1171)
1172xt "32000,47000,36000,48000"
1173)
1174oxt "14000,69000,18000,70000"
1175text (MLText
1176uid 41,0
1177va (VaSet
1178fg "0,0,32768"
1179bg "0,0,32768"
1180)
1181xt "32200,47000,34500,48000"
1182st "
1183Path:
1184"
1185tm "CommentText"
1186wrapOption 3
1187visibleHeight 1000
1188visibleWidth 4000
1189)
1190position 1
1191ignorePrefs 1
1192titleBlock 1
1193)
1194*77 (CommentText
1195uid 42,0
1196shape (Rectangle
1197uid 43,0
1198sl 0
1199va (VaSet
1200vasetType 1
1201fg "65280,65280,46080"
1202)
1203xt "32000,48000,36000,49000"
1204)
1205oxt "14000,70000,18000,71000"
1206text (MLText
1207uid 44,0
1208va (VaSet
1209fg "0,0,32768"
1210bg "0,0,32768"
1211)
1212xt "32200,48000,35300,49000"
1213st "
1214Edited:
1215"
1216tm "CommentText"
1217wrapOption 3
1218visibleHeight 1000
1219visibleWidth 4000
1220)
1221position 1
1222ignorePrefs 1
1223titleBlock 1
1224)
1225*78 (CommentText
1226uid 45,0
1227shape (Rectangle
1228uid 46,0
1229sl 0
1230va (VaSet
1231vasetType 1
1232fg "65280,65280,46080"
1233)
1234xt "36000,47000,53000,48000"
1235)
1236oxt "18000,69000,35000,70000"
1237text (MLText
1238uid 47,0
1239va (VaSet
1240fg "0,0,32768"
1241bg "0,0,32768"
1242)
1243xt "36200,47000,44700,48000"
1244st "
1245%library/%unit/%view
1246"
1247tm "CommentText"
1248wrapOption 3
1249visibleHeight 1000
1250visibleWidth 17000
1251)
1252position 1
1253ignorePrefs 1
1254titleBlock 1
1255)
1256]
1257shape (GroupingShape
1258uid 17,0
1259va (VaSet
1260vasetType 1
1261fg "65535,65535,65535"
1262lineStyle 2
1263lineWidth 2
1264)
1265xt "32000,44000,73000,49000"
1266)
1267oxt "14000,66000,55000,71000"
1268)
1269]
1270bg "65535,65535,65535"
1271grid (Grid
1272origin "0,0"
1273isVisible 1
1274isActive 1
1275xSpacing 1000
1276xySpacing 1000
1277xShown 1
1278yShown 1
1279color "26368,26368,26368"
1280)
1281packageList *79 (PackageList
1282uid 48,0
1283stg "VerticalLayoutStrategy"
1284textVec [
1285*80 (Text
1286uid 49,0
1287va (VaSet
1288font "arial,8,1"
1289)
1290xt "0,0,5400,1000"
1291st "Package List"
1292blo "0,800"
1293)
1294*81 (MLText
1295uid 50,0
1296va (VaSet
1297)
1298xt "0,1000,16700,7000"
1299st "LIBRARY ieee;
1300USE ieee.std_logic_1164.ALL;
1301USE IEEE.NUMERIC_STD.ALL;
1302LIBRARY FACT_FAD_lib;
1303USE FACT_FAD_lib.fad_definitions.ALL;
1304USE ieee.std_logic_unsigned.all;"
1305tm "PackageList"
1306)
1307]
1308)
1309windowSize "0,0,1015,690"
1310viewArea "0,0,0,0"
1311cachedDiagramExtent "0,0,0,0"
1312pageBreakOrigin "0,0"
1313defaultCommentText (CommentText
1314shape (Rectangle
1315layer 0
1316va (VaSet
1317vasetType 1
1318fg "65280,65280,46080"
1319lineColor "0,0,32768"
1320)
1321xt "0,0,15000,5000"
1322)
1323text (MLText
1324va (VaSet
1325fg "0,0,32768"
1326)
1327xt "200,200,2400,1200"
1328st "
1329Text
1330"
1331tm "CommentText"
1332wrapOption 3
1333visibleHeight 4600
1334visibleWidth 14600
1335)
1336)
1337defaultPanel (Panel
1338shape (RectFrame
1339va (VaSet
1340vasetType 1
1341fg "65535,65535,65535"
1342lineColor "32768,0,0"
1343lineWidth 3
1344)
1345xt "0,0,20000,20000"
1346)
1347title (TextAssociate
1348ps "TopLeftStrategy"
1349text (Text
1350va (VaSet
1351font "Arial,8,1"
1352)
1353xt "1000,1000,3800,2000"
1354st "Panel0"
1355blo "1000,1800"
1356tm "PanelText"
1357)
1358)
1359)
1360parentGraphicsRef (HdmGraphicsRef
1361libraryName "FACT_FAD_TB_lib"
1362entityName "REFCLK_counter_tb"
1363viewName "struct.bd"
1364)
1365defaultSymbolBody (SymbolBody
1366shape (Rectangle
1367va (VaSet
1368vasetType 1
1369fg "0,65535,0"
1370lineColor "0,32896,0"
1371lineWidth 2
1372)
1373xt "15000,6000,33000,26000"
1374)
1375biTextGroup (BiTextGroup
1376ps "CenterOffsetStrategy"
1377stg "VerticalLayoutStrategy"
1378first (Text
1379va (VaSet
1380font "Arial,8,1"
1381)
1382xt "22200,15000,25800,16000"
1383st "<library>"
1384blo "22200,15800"
1385)
1386second (Text
1387va (VaSet
1388font "Arial,8,1"
1389)
1390xt "22200,16000,24800,17000"
1391st "<cell>"
1392blo "22200,16800"
1393)
1394)
1395gi *82 (GenericInterface
1396ps "CenterOffsetStrategy"
1397matrix (Matrix
1398text (MLText
1399va (VaSet
1400font "Courier New,8,0"
1401)
1402xt "0,12000,11500,12800"
1403st "Generic Declarations"
1404)
1405header "Generic Declarations"
1406showHdrWhenContentsEmpty 1
1407)
1408elements [
1409]
1410)
1411portInstanceVisAsIs 1
1412portInstanceVis (PortSigDisplay
1413sIVOD 1
1414)
1415portVis (PortSigDisplay
1416sIVOD 1
1417)
1418)
1419defaultCptPort (CptPort
1420ps "OnEdgeStrategy"
1421shape (Triangle
1422ro 90
1423va (VaSet
1424vasetType 1
1425fg "0,65535,0"
1426)
1427xt "0,0,750,750"
1428)
1429tg (CPTG
1430ps "CptPortTextPlaceStrategy"
1431stg "VerticalLayoutStrategy"
1432f (Text
1433va (VaSet
1434)
1435xt "0,750,1400,1750"
1436st "In0"
1437blo "0,1550"
1438tm "CptPortNameMgr"
1439)
1440)
1441dt (MLText
1442va (VaSet
1443font "Courier New,8,0"
1444)
1445)
1446thePort (LogicalPort
1447decl (Decl
1448n "In0"
1449t "std_logic_vector"
1450b "(15 DOWNTO 0)"
1451o 0
1452)
1453)
1454)
1455defaultCptPortBuffer (CptPort
1456ps "OnEdgeStrategy"
1457shape (Diamond
1458va (VaSet
1459vasetType 1
1460fg "65535,65535,65535"
1461bg "0,0,0"
1462)
1463xt "0,0,750,750"
1464)
1465tg (CPTG
1466ps "CptPortTextPlaceStrategy"
1467stg "VerticalLayoutStrategy"
1468f (Text
1469va (VaSet
1470)
1471xt "0,750,2800,1750"
1472st "Buffer0"
1473blo "0,1550"
1474tm "CptPortNameMgr"
1475)
1476)
1477dt (MLText
1478va (VaSet
1479font "Courier New,8,0"
1480)
1481)
1482thePort (LogicalPort
1483m 3
1484decl (Decl
1485n "Buffer0"
1486t "std_logic_vector"
1487b "(15 DOWNTO 0)"
1488o 0
1489)
1490)
1491)
1492DeclarativeBlock *83 (SymDeclBlock
1493uid 1,0
1494stg "SymDeclLayoutStrategy"
1495declLabel (Text
1496uid 2,0
1497va (VaSet
1498font "Arial,8,1"
1499)
1500xt "42000,0,47400,1000"
1501st "Declarations"
1502blo "42000,800"
1503)
1504portLabel (Text
1505uid 3,0
1506va (VaSet
1507font "Arial,8,1"
1508)
1509xt "42000,1000,44700,2000"
1510st "Ports:"
1511blo "42000,1800"
1512)
1513externalLabel (Text
1514uid 4,0
1515va (VaSet
1516font "Arial,8,1"
1517)
1518xt "42000,6000,44400,7000"
1519st "User:"
1520blo "42000,6800"
1521)
1522internalLabel (Text
1523uid 6,0
1524va (VaSet
1525isHidden 1
1526font "Arial,8,1"
1527)
1528xt "42000,0,47800,1000"
1529st "Internal User:"
1530blo "42000,800"
1531)
1532externalText (MLText
1533uid 5,0
1534va (VaSet
1535font "Courier New,8,0"
1536)
1537xt "44000,7000,44000,7000"
1538tm "SyDeclarativeTextMgr"
1539)
1540internalText (MLText
1541uid 7,0
1542va (VaSet
1543isHidden 1
1544font "Courier New,8,0"
1545)
1546xt "42000,0,42000,0"
1547tm "SyDeclarativeTextMgr"
1548)
1549)
1550lastUid 905,0
1551activeModelName "Symbol:CDM"
1552)
Note: See TracBrowser for help on using the repository browser.