source: firmware/FAD/FACT_FAD_TB_lib/hds/adc_emulator/symbol.sb

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 21.1 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_unsigned"
12)
13(DmPackageRef
14library "ieee"
15unitName "std_logic_textio"
16)
17(DmPackageRef
18library "std"
19unitName "textio"
20)
21]
22libraryRefs [
23"ieee"
24"std"
25]
26)
27version "24.1"
28appVersion "2009.2 (Build 10)"
29model (Symbol
30commonDM (CommonDM
31ldm (LogicalDM
32ordering 1
33suid 4,0
34usingSuid 1
35emptyRow *1 (LEmptyRow
36)
37uid 87,0
38optionalChildren [
39*2 (RefLabelRowHdr
40)
41*3 (TitleRowHdr
42)
43*4 (FilterRowHdr
44)
45*5 (RefLabelColHdr
46tm "RefLabelColHdrMgr"
47)
48*6 (RowExpandColHdr
49tm "RowExpandColHdrMgr"
50)
51*7 (GroupColHdr
52tm "GroupColHdrMgr"
53)
54*8 (NameColHdr
55tm "NameColHdrMgr"
56)
57*9 (ModeColHdr
58tm "ModeColHdrMgr"
59)
60*10 (TypeColHdr
61tm "TypeColHdrMgr"
62)
63*11 (BoundsColHdr
64tm "BoundsColHdrMgr"
65)
66*12 (InitColHdr
67tm "InitColHdrMgr"
68)
69*13 (EolColHdr
70tm "EolColHdrMgr"
71)
72*14 (LogPort
73port (LogicalPort
74decl (Decl
75n "clk"
76t "STD_LOGIC"
77preAdd 0
78posAdd 0
79o 1
80suid 1,0
81)
82)
83uid 74,0
84)
85*15 (LogPort
86port (LogicalPort
87m 1
88decl (Decl
89n "data"
90t "STD_LOGIC_VECTOR"
91b "(11 DOWNTO 0)"
92preAdd 0
93posAdd 0
94o 2
95suid 2,0
96)
97)
98uid 76,0
99)
100*16 (LogPort
101port (LogicalPort
102m 1
103decl (Decl
104n "otr"
105t "STD_LOGIC"
106preAdd 0
107posAdd 0
108o 3
109suid 3,0
110)
111)
112uid 78,0
113)
114*17 (LogPort
115port (LogicalPort
116decl (Decl
117n "oeb"
118t "STD_LOGIC"
119preAdd 0
120posAdd 0
121o 4
122suid 4,0
123)
124)
125uid 80,0
126)
127]
128)
129pdm (PhysicalDM
130displayShortBounds 1
131editShortBounds 1
132uid 100,0
133optionalChildren [
134*18 (Sheet
135sheetRow (SheetRow
136headerVa (MVa
137cellColor "49152,49152,49152"
138fontColor "0,0,0"
139font "Tahoma,10,0"
140)
141cellVa (MVa
142cellColor "65535,65535,65535"
143fontColor "0,0,0"
144font "Tahoma,10,0"
145)
146groupVa (MVa
147cellColor "39936,56832,65280"
148fontColor "0,0,0"
149font "Tahoma,10,0"
150)
151emptyMRCItem *19 (MRCItem
152litem &1
153pos 3
154dimension 20
155)
156uid 102,0
157optionalChildren [
158*20 (MRCItem
159litem &2
160pos 0
161dimension 20
162uid 103,0
163)
164*21 (MRCItem
165litem &3
166pos 1
167dimension 23
168uid 104,0
169)
170*22 (MRCItem
171litem &4
172pos 2
173hidden 1
174dimension 20
175uid 105,0
176)
177*23 (MRCItem
178litem &14
179pos 0
180dimension 20
181uid 75,0
182)
183*24 (MRCItem
184litem &15
185pos 1
186dimension 20
187uid 77,0
188)
189*25 (MRCItem
190litem &16
191pos 2
192dimension 20
193uid 79,0
194)
195*26 (MRCItem
196litem &17
197pos 3
198dimension 20
199uid 81,0
200)
201]
202)
203sheetCol (SheetCol
204propVa (MVa
205cellColor "0,49152,49152"
206fontColor "0,0,0"
207font "Tahoma,10,0"
208textAngle 90
209)
210uid 106,0
211optionalChildren [
212*27 (MRCItem
213litem &5
214pos 0
215dimension 20
216uid 107,0
217)
218*28 (MRCItem
219litem &7
220pos 1
221dimension 50
222uid 108,0
223)
224*29 (MRCItem
225litem &8
226pos 2
227dimension 100
228uid 109,0
229)
230*30 (MRCItem
231litem &9
232pos 3
233dimension 50
234uid 110,0
235)
236*31 (MRCItem
237litem &10
238pos 4
239dimension 100
240uid 111,0
241)
242*32 (MRCItem
243litem &11
244pos 5
245dimension 100
246uid 112,0
247)
248*33 (MRCItem
249litem &12
250pos 6
251dimension 50
252uid 113,0
253)
254*34 (MRCItem
255litem &13
256pos 7
257dimension 80
258uid 114,0
259)
260]
261)
262fixedCol 4
263fixedRow 2
264name "Ports"
265uid 101,0
266vaOverrides [
267]
268)
269]
270)
271uid 86,0
272)
273genericsCommonDM (CommonDM
274ldm (LogicalDM
275emptyRow *35 (LEmptyRow
276)
277uid 116,0
278optionalChildren [
279*36 (RefLabelRowHdr
280)
281*37 (TitleRowHdr
282)
283*38 (FilterRowHdr
284)
285*39 (RefLabelColHdr
286tm "RefLabelColHdrMgr"
287)
288*40 (RowExpandColHdr
289tm "RowExpandColHdrMgr"
290)
291*41 (GroupColHdr
292tm "GroupColHdrMgr"
293)
294*42 (NameColHdr
295tm "GenericNameColHdrMgr"
296)
297*43 (TypeColHdr
298tm "GenericTypeColHdrMgr"
299)
300*44 (InitColHdr
301tm "GenericValueColHdrMgr"
302)
303*45 (PragmaColHdr
304tm "GenericPragmaColHdrMgr"
305)
306*46 (EolColHdr
307tm "GenericEolColHdrMgr"
308)
309*47 (LogGeneric
310generic (GiElement
311name "INPUT_FILE"
312type "string"
313value "\"filename\""
314)
315uid 167,0
316)
317]
318)
319pdm (PhysicalDM
320displayShortBounds 1
321editShortBounds 1
322uid 128,0
323optionalChildren [
324*48 (Sheet
325sheetRow (SheetRow
326headerVa (MVa
327cellColor "49152,49152,49152"
328fontColor "0,0,0"
329font "Tahoma,10,0"
330)
331cellVa (MVa
332cellColor "65535,65535,65535"
333fontColor "0,0,0"
334font "Tahoma,10,0"
335)
336groupVa (MVa
337cellColor "39936,56832,65280"
338fontColor "0,0,0"
339font "Tahoma,10,0"
340)
341emptyMRCItem *49 (MRCItem
342litem &35
343pos 3
344dimension 20
345)
346uid 130,0
347optionalChildren [
348*50 (MRCItem
349litem &36
350pos 0
351dimension 20
352uid 131,0
353)
354*51 (MRCItem
355litem &37
356pos 1
357dimension 23
358uid 132,0
359)
360*52 (MRCItem
361litem &38
362pos 2
363hidden 1
364dimension 20
365uid 133,0
366)
367*53 (MRCItem
368litem &47
369pos 0
370dimension 20
371uid 168,0
372)
373]
374)
375sheetCol (SheetCol
376propVa (MVa
377cellColor "0,49152,49152"
378fontColor "0,0,0"
379font "Tahoma,10,0"
380textAngle 90
381)
382uid 134,0
383optionalChildren [
384*54 (MRCItem
385litem &39
386pos 0
387dimension 20
388uid 135,0
389)
390*55 (MRCItem
391litem &41
392pos 1
393dimension 50
394uid 136,0
395)
396*56 (MRCItem
397litem &42
398pos 2
399dimension 100
400uid 137,0
401)
402*57 (MRCItem
403litem &43
404pos 3
405dimension 100
406uid 138,0
407)
408*58 (MRCItem
409litem &44
410pos 4
411dimension 50
412uid 139,0
413)
414*59 (MRCItem
415litem &45
416pos 5
417dimension 50
418uid 140,0
419)
420*60 (MRCItem
421litem &46
422pos 6
423dimension 80
424uid 141,0
425)
426]
427)
428fixedCol 3
429fixedRow 2
430name "Ports"
431uid 129,0
432vaOverrides [
433]
434)
435]
436)
437uid 115,0
438type 1
439)
440VExpander (VariableExpander
441vvMap [
442(vvPair
443variable "HDLDir"
444value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl"
445)
446(vvPair
447variable "HDSDir"
448value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
449)
450(vvPair
451variable "SideDataDesignDir"
452value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator\\symbol.sb.info"
453)
454(vvPair
455variable "SideDataUserDir"
456value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator\\symbol.sb.user"
457)
458(vvPair
459variable "SourceDir"
460value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
461)
462(vvPair
463variable "appl"
464value "HDL Designer"
465)
466(vvPair
467variable "arch_name"
468value "symbol"
469)
470(vvPair
471variable "config"
472value "%(unit)_config"
473)
474(vvPair
475variable "d"
476value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator"
477)
478(vvPair
479variable "d_logical"
480value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator"
481)
482(vvPair
483variable "date"
484value "23.06.2010"
485)
486(vvPair
487variable "day"
488value "Mi"
489)
490(vvPair
491variable "day_long"
492value "Mittwoch"
493)
494(vvPair
495variable "dd"
496value "23"
497)
498(vvPair
499variable "entity_name"
500value "adc_emulator"
501)
502(vvPair
503variable "ext"
504value "<TBD>"
505)
506(vvPair
507variable "f"
508value "symbol.sb"
509)
510(vvPair
511variable "f_logical"
512value "symbol.sb"
513)
514(vvPair
515variable "f_noext"
516value "symbol"
517)
518(vvPair
519variable "group"
520value "UNKNOWN"
521)
522(vvPair
523variable "host"
524value "EEPC8"
525)
526(vvPair
527variable "language"
528value "VHDL"
529)
530(vvPair
531variable "library"
532value "FACT_FAD_TB_lib"
533)
534(vvPair
535variable "library_downstream_ModelSimCompiler"
536value "$HDS_PROJECT_DIR\\FACT_FAD_TB_lib\\work"
537)
538(vvPair
539variable "mm"
540value "06"
541)
542(vvPair
543variable "module_name"
544value "adc_emulator"
545)
546(vvPair
547variable "month"
548value "Jun"
549)
550(vvPair
551variable "month_long"
552value "Juni"
553)
554(vvPair
555variable "p"
556value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator\\symbol.sb"
557)
558(vvPair
559variable "p_logical"
560value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator\\symbol.sb"
561)
562(vvPair
563variable "package_name"
564value "<Undefined Variable>"
565)
566(vvPair
567variable "project_name"
568value "FACT_FAD"
569)
570(vvPair
571variable "series"
572value "HDL Designer Series"
573)
574(vvPair
575variable "task_DesignCompilerPath"
576value "<TBD>"
577)
578(vvPair
579variable "task_LeonardoPath"
580value "<TBD>"
581)
582(vvPair
583variable "task_ModelSimPath"
584value "$HDS_HOME/../Modeltech/win32"
585)
586(vvPair
587variable "task_NC-SimPath"
588value "<TBD>"
589)
590(vvPair
591variable "task_PrecisionRTLPath"
592value "$HDS_HOME/../Precision/Mgc_home/bin"
593)
594(vvPair
595variable "task_QuestaSimPath"
596value "<TBD>"
597)
598(vvPair
599variable "task_VCSPath"
600value "<TBD>"
601)
602(vvPair
603variable "this_ext"
604value "sb"
605)
606(vvPair
607variable "this_file"
608value "symbol"
609)
610(vvPair
611variable "this_file_logical"
612value "symbol"
613)
614(vvPair
615variable "time"
616value "11:49:58"
617)
618(vvPair
619variable "unit"
620value "adc_emulator"
621)
622(vvPair
623variable "user"
624value "Benjamin Krumm"
625)
626(vvPair
627variable "version"
628value "2009.2 (Build 10)"
629)
630(vvPair
631variable "view"
632value "symbol"
633)
634(vvPair
635variable "year"
636value "2010"
637)
638(vvPair
639variable "yy"
640value "10"
641)
642]
643)
644LanguageMgr "VhdlLangMgr"
645uid 85,0
646optionalChildren [
647*61 (SymbolBody
648uid 8,0
649optionalChildren [
650*62 (CptPort
651uid 48,0
652ps "OnEdgeStrategy"
653shape (Triangle
654uid 49,0
655ro 90
656va (VaSet
657vasetType 1
658fg "0,65535,0"
659)
660xt "28250,11625,29000,12375"
661)
662tg (CPTG
663uid 50,0
664ps "CptPortTextPlaceStrategy"
665stg "VerticalLayoutStrategy"
666f (Text
667uid 51,0
668va (VaSet
669)
670xt "30000,11500,31300,12500"
671st "clk"
672blo "30000,12300"
673tm "CptPortNameMgr"
674)
675)
676dt (MLText
677uid 52,0
678va (VaSet
679font "Courier New,8,0"
680)
681xt "2000,7000,16500,7800"
682st "clk : IN STD_LOGIC ;"
683)
684thePort (LogicalPort
685decl (Decl
686n "clk"
687t "STD_LOGIC"
688preAdd 0
689posAdd 0
690o 1
691suid 1,0
692)
693)
694)
695*63 (CptPort
696uid 53,0
697ps "OnEdgeStrategy"
698shape (Triangle
699uid 54,0
700ro 90
701va (VaSet
702vasetType 1
703fg "0,65535,0"
704)
705xt "39000,13625,39750,14375"
706)
707tg (CPTG
708uid 55,0
709ps "CptPortTextPlaceStrategy"
710stg "RightVerticalLayoutStrategy"
711f (Text
712uid 56,0
713va (VaSet
714)
715xt "33200,13500,38000,14500"
716st "data : (11:0)"
717ju 2
718blo "38000,14300"
719tm "CptPortNameMgr"
720)
721)
722dt (MLText
723uid 57,0
724va (VaSet
725font "Courier New,8,0"
726)
727xt "2000,7800,27000,8600"
728st "data : OUT STD_LOGIC_VECTOR (11 DOWNTO 0) ;"
729)
730thePort (LogicalPort
731m 1
732decl (Decl
733n "data"
734t "STD_LOGIC_VECTOR"
735b "(11 DOWNTO 0)"
736preAdd 0
737posAdd 0
738o 2
739suid 2,0
740)
741)
742)
743*64 (CptPort
744uid 58,0
745ps "OnEdgeStrategy"
746shape (Triangle
747uid 59,0
748ro 90
749va (VaSet
750vasetType 1
751fg "0,65535,0"
752)
753xt "39000,11625,39750,12375"
754)
755tg (CPTG
756uid 60,0
757ps "CptPortTextPlaceStrategy"
758stg "RightVerticalLayoutStrategy"
759f (Text
760uid 61,0
761va (VaSet
762)
763xt "36700,11500,38000,12500"
764st "otr"
765ju 2
766blo "38000,12300"
767tm "CptPortNameMgr"
768)
769)
770dt (MLText
771uid 62,0
772va (VaSet
773font "Courier New,8,0"
774)
775xt "2000,8600,16500,9400"
776st "otr : OUT STD_LOGIC ;"
777)
778thePort (LogicalPort
779m 1
780decl (Decl
781n "otr"
782t "STD_LOGIC"
783preAdd 0
784posAdd 0
785o 3
786suid 3,0
787)
788)
789)
790*65 (CptPort
791uid 63,0
792ps "OnEdgeStrategy"
793shape (Triangle
794uid 64,0
795ro 270
796va (VaSet
797vasetType 1
798fg "0,65535,0"
799)
800xt "39000,12625,39750,13375"
801)
802tg (CPTG
803uid 65,0
804ps "CptPortTextPlaceStrategy"
805stg "RightVerticalLayoutStrategy"
806f (Text
807uid 66,0
808va (VaSet
809)
810xt "36400,12500,38000,13500"
811st "oeb"
812ju 2
813blo "38000,13300"
814tm "CptPortNameMgr"
815)
816)
817dt (MLText
818uid 67,0
819va (VaSet
820font "Courier New,8,0"
821)
822xt "2000,9400,15500,10200"
823st "oeb : IN STD_LOGIC "
824)
825thePort (LogicalPort
826decl (Decl
827n "oeb"
828t "STD_LOGIC"
829preAdd 0
830posAdd 0
831o 4
832suid 4,0
833)
834)
835)
836*66 (CommentText
837uid 71,0
838ps "EdgeToEdgeStrategy"
839shape (Rectangle
840uid 72,0
841layer 0
842va (VaSet
843vasetType 1
844fg "65280,65280,46080"
845lineColor "0,0,32768"
846)
847xt "29000,2000,44000,6000"
848)
849text (MLText
850uid 73,0
851va (VaSet
852fg "0,0,32768"
853)
854xt "29200,2200,41600,3200"
855st "
856
857"
858tm "CommentText"
859wrapOption 3
860visibleHeight 4000
861visibleWidth 15000
862)
863included 1
864excludeCommentLeader 1
865)
866]
867shape (Rectangle
868va (VaSet
869vasetType 1
870fg "0,49152,49152"
871lineColor "0,0,50000"
872lineWidth 2
873)
874xt "29000,10000,39000,17000"
875)
876biTextGroup (BiTextGroup
877uid 10,0
878ps "CenterOffsetStrategy"
879stg "VerticalLayoutStrategy"
880first (Text
881uid 11,0
882va (VaSet
883font "Arial,8,1"
884)
885xt "29200,17000,36900,18000"
886st "FACT_FAD_TB_lib"
887blo "29200,17800"
888)
889second (Text
890uid 12,0
891va (VaSet
892font "Arial,8,1"
893)
894xt "29200,18000,35000,19000"
895st "adc_emulator"
896blo "29200,18800"
897)
898)
899gi *67 (GenericInterface
900uid 13,0
901ps "CenterOffsetStrategy"
902matrix (Matrix
903uid 14,0
904text (MLText
905uid 15,0
906va (VaSet
907font "Courier New,8,0"
908)
909xt "29000,5200,45500,7600"
910st "Generic Declarations
911
912INPUT_FILE string \"filename\" "
913)
914header "Generic Declarations"
915showHdrWhenContentsEmpty 1
916)
917elements [
918(GiElement
919name "INPUT_FILE"
920type "string"
921value "\"filename\""
922)
923]
924)
925portInstanceVisAsIs 1
926portInstanceVis (PortSigDisplay
927sIVOD 1
928)
929portVis (PortSigDisplay
930sIVOD 1
931)
932)
933*68 (Grouping
934uid 16,0
935optionalChildren [
936*69 (CommentText
937uid 18,0
938shape (Rectangle
939uid 19,0
940sl 0
941va (VaSet
942vasetType 1
943fg "65280,65280,46080"
944)
945xt "33000,25000,50000,26000"
946)
947oxt "18000,70000,35000,71000"
948text (MLText
949uid 20,0
950va (VaSet
951fg "0,0,32768"
952bg "0,0,32768"
953)
954xt "33200,25000,46200,26000"
955st "
956by %user on %dd %month %year
957"
958tm "CommentText"
959wrapOption 3
960visibleHeight 1000
961visibleWidth 17000
962)
963position 1
964ignorePrefs 1
965titleBlock 1
966)
967*70 (CommentText
968uid 21,0
969shape (Rectangle
970uid 22,0
971sl 0
972va (VaSet
973vasetType 1
974fg "65280,65280,46080"
975)
976xt "50000,21000,54000,22000"
977)
978oxt "35000,66000,39000,67000"
979text (MLText
980uid 23,0
981va (VaSet
982fg "0,0,32768"
983bg "0,0,32768"
984)
985xt "50200,21000,53200,22000"
986st "
987Project:
988"
989tm "CommentText"
990wrapOption 3
991visibleHeight 1000
992visibleWidth 4000
993)
994position 1
995ignorePrefs 1
996titleBlock 1
997)
998*71 (CommentText
999uid 24,0
1000shape (Rectangle
1001uid 25,0
1002sl 0
1003va (VaSet
1004vasetType 1
1005fg "65280,65280,46080"
1006)
1007xt "33000,23000,50000,24000"
1008)
1009oxt "18000,68000,35000,69000"
1010text (MLText
1011uid 26,0
1012va (VaSet
1013fg "0,0,32768"
1014bg "0,0,32768"
1015)
1016xt "33200,23000,43200,24000"
1017st "
1018<enter diagram title here>
1019"
1020tm "CommentText"
1021wrapOption 3
1022visibleHeight 1000
1023visibleWidth 17000
1024)
1025position 1
1026ignorePrefs 1
1027titleBlock 1
1028)
1029*72 (CommentText
1030uid 27,0
1031shape (Rectangle
1032uid 28,0
1033sl 0
1034va (VaSet
1035vasetType 1
1036fg "65280,65280,46080"
1037)
1038xt "29000,23000,33000,24000"
1039)
1040oxt "14000,68000,18000,69000"
1041text (MLText
1042uid 29,0
1043va (VaSet
1044fg "0,0,32768"
1045bg "0,0,32768"
1046)
1047xt "29200,23000,31300,24000"
1048st "
1049Title:
1050"
1051tm "CommentText"
1052wrapOption 3
1053visibleHeight 1000
1054visibleWidth 4000
1055)
1056position 1
1057ignorePrefs 1
1058titleBlock 1
1059)
1060*73 (CommentText
1061uid 30,0
1062shape (Rectangle
1063uid 31,0
1064sl 0
1065va (VaSet
1066vasetType 1
1067fg "65280,65280,46080"
1068)
1069xt "50000,22000,70000,26000"
1070)
1071oxt "35000,67000,55000,71000"
1072text (MLText
1073uid 32,0
1074va (VaSet
1075fg "0,0,32768"
1076bg "0,0,32768"
1077)
1078xt "50200,22200,59400,23200"
1079st "
1080<enter comments here>
1081"
1082tm "CommentText"
1083wrapOption 3
1084visibleHeight 4000
1085visibleWidth 20000
1086)
1087ignorePrefs 1
1088titleBlock 1
1089)
1090*74 (CommentText
1091uid 33,0
1092shape (Rectangle
1093uid 34,0
1094sl 0
1095va (VaSet
1096vasetType 1
1097fg "65280,65280,46080"
1098)
1099xt "54000,21000,70000,22000"
1100)
1101oxt "39000,66000,55000,67000"
1102text (MLText
1103uid 35,0
1104va (VaSet
1105fg "0,0,32768"
1106bg "0,0,32768"
1107)
1108xt "54200,21000,58700,22000"
1109st "
1110%project_name
1111"
1112tm "CommentText"
1113wrapOption 3
1114visibleHeight 1000
1115visibleWidth 16000
1116)
1117position 1
1118ignorePrefs 1
1119titleBlock 1
1120)
1121*75 (CommentText
1122uid 36,0
1123shape (Rectangle
1124uid 37,0
1125sl 0
1126va (VaSet
1127vasetType 1
1128fg "65280,65280,46080"
1129)
1130xt "29000,21000,50000,23000"
1131)
1132oxt "14000,66000,35000,68000"
1133text (MLText
1134uid 38,0
1135va (VaSet
1136fg "32768,0,0"
1137)
1138xt "36700,21000,42300,23000"
1139st "
1140TU Dortmund
1141Physik / EE
1142"
1143ju 0
1144tm "CommentText"
1145wrapOption 3
1146visibleHeight 2000
1147visibleWidth 21000
1148)
1149position 1
1150ignorePrefs 1
1151titleBlock 1
1152)
1153*76 (CommentText
1154uid 39,0
1155shape (Rectangle
1156uid 40,0
1157sl 0
1158va (VaSet
1159vasetType 1
1160fg "65280,65280,46080"
1161)
1162xt "29000,24000,33000,25000"
1163)
1164oxt "14000,69000,18000,70000"
1165text (MLText
1166uid 41,0
1167va (VaSet
1168fg "0,0,32768"
1169bg "0,0,32768"
1170)
1171xt "29200,24000,31300,25000"
1172st "
1173Path:
1174"
1175tm "CommentText"
1176wrapOption 3
1177visibleHeight 1000
1178visibleWidth 4000
1179)
1180position 1
1181ignorePrefs 1
1182titleBlock 1
1183)
1184*77 (CommentText
1185uid 42,0
1186shape (Rectangle
1187uid 43,0
1188sl 0
1189va (VaSet
1190vasetType 1
1191fg "65280,65280,46080"
1192)
1193xt "29000,25000,33000,26000"
1194)
1195oxt "14000,70000,18000,71000"
1196text (MLText
1197uid 44,0
1198va (VaSet
1199fg "0,0,32768"
1200bg "0,0,32768"
1201)
1202xt "29200,25000,31900,26000"
1203st "
1204Edited:
1205"
1206tm "CommentText"
1207wrapOption 3
1208visibleHeight 1000
1209visibleWidth 4000
1210)
1211position 1
1212ignorePrefs 1
1213titleBlock 1
1214)
1215*78 (CommentText
1216uid 45,0
1217shape (Rectangle
1218uid 46,0
1219sl 0
1220va (VaSet
1221vasetType 1
1222fg "65280,65280,46080"
1223)
1224xt "33000,24000,50000,25000"
1225)
1226oxt "18000,69000,35000,70000"
1227text (MLText
1228uid 47,0
1229va (VaSet
1230fg "0,0,32768"
1231bg "0,0,32768"
1232)
1233xt "33200,24000,48300,25000"
1234st "
1235%library/%unit/%view
1236"
1237tm "CommentText"
1238wrapOption 3
1239visibleHeight 1000
1240visibleWidth 17000
1241)
1242position 1
1243ignorePrefs 1
1244titleBlock 1
1245)
1246]
1247shape (GroupingShape
1248uid 17,0
1249va (VaSet
1250vasetType 1
1251fg "65535,65535,65535"
1252lineStyle 2
1253lineWidth 2
1254)
1255xt "29000,21000,70000,26000"
1256)
1257oxt "14000,66000,55000,71000"
1258)
1259*79 (CommentText
1260uid 68,0
1261shape (Rectangle
1262uid 69,0
1263layer 0
1264va (VaSet
1265vasetType 1
1266fg "65280,65280,46080"
1267lineColor "0,0,32768"
1268)
1269xt "0,-6000,33000,0"
1270)
1271text (MLText
1272uid 70,0
1273va (VaSet
1274fg "0,0,32768"
1275font "Arial,10,0"
1276)
1277xt "200,-5800,32200,-600"
1278st "
1279Created using Mentor Graphics HDL2Graphics(TM) Technology
1280on - 10:43:05 23.06.2010
1281from - D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl\\adc_emulator_beha.vhd
1282
1283"
1284tm "CommentText"
1285wrapOption 3
1286visibleHeight 5600
1287visibleWidth 32600
1288)
1289)
1290]
1291bg "65535,65535,65535"
1292grid (Grid
1293origin "0,0"
1294isVisible 1
1295isActive 1
1296xSpacing 1000
1297xySpacing 1000
1298xShown 1
1299yShown 1
1300color "26368,26368,26368"
1301)
1302packageList *80 (PackageList
1303uid 82,0
1304stg "VerticalLayoutStrategy"
1305textVec [
1306*81 (Text
1307uid 83,0
1308va (VaSet
1309font "Arial,8,1"
1310)
1311xt "0,1000,5400,2000"
1312st "Package List"
1313blo "0,1800"
1314)
1315*82 (MLText
1316uid 84,0
1317va (VaSet
1318)
1319xt "0,2000,12400,8000"
1320st "LIBRARY ieee;
1321USE ieee.std_logic_1164.all;
1322USE ieee.std_logic_unsigned.all;
1323USE ieee.std_logic_textio.all;
1324LIBRARY std;
1325USE std.textio.all;"
1326tm "PackageList"
1327)
1328]
1329)
1330windowSize "0,0,1015,690"
1331viewArea "0,0,0,0"
1332cachedDiagramExtent "0,0,0,0"
1333pageBreakOrigin "0,0"
1334defaultCommentText (CommentText
1335shape (Rectangle
1336layer 0
1337va (VaSet
1338vasetType 1
1339fg "65280,65280,46080"
1340lineColor "0,0,32768"
1341)
1342xt "0,0,15000,5000"
1343)
1344text (MLText
1345va (VaSet
1346fg "0,0,32768"
1347)
1348xt "200,200,2000,1200"
1349st "
1350Text
1351"
1352tm "CommentText"
1353wrapOption 3
1354visibleHeight 4600
1355visibleWidth 14600
1356)
1357)
1358defaultPanel (Panel
1359shape (RectFrame
1360va (VaSet
1361vasetType 1
1362fg "65535,65535,65535"
1363lineColor "32768,0,0"
1364lineWidth 3
1365)
1366xt "0,0,20000,20000"
1367)
1368title (TextAssociate
1369ps "TopLeftStrategy"
1370text (Text
1371va (VaSet
1372font "Arial,8,1"
1373)
1374xt "1000,1000,3800,2000"
1375st "Panel0"
1376blo "1000,1800"
1377tm "PanelText"
1378)
1379)
1380)
1381parentGraphicsRef (HdmGraphicsRef
1382libraryName ""
1383entityName ""
1384viewName ""
1385)
1386defaultSymbolBody (SymbolBody
1387shape (Rectangle
1388va (VaSet
1389vasetType 1
1390fg "0,65535,0"
1391lineColor "0,32896,0"
1392lineWidth 2
1393)
1394xt "15000,6000,33000,26000"
1395)
1396biTextGroup (BiTextGroup
1397ps "CenterOffsetStrategy"
1398stg "VerticalLayoutStrategy"
1399first (Text
1400va (VaSet
1401font "Arial,8,1"
1402)
1403xt "22200,15000,25800,16000"
1404st "<library>"
1405blo "22200,15800"
1406)
1407second (Text
1408va (VaSet
1409font "Arial,8,1"
1410)
1411xt "22200,16000,24800,17000"
1412st "<cell>"
1413blo "22200,16800"
1414)
1415)
1416gi *83 (GenericInterface
1417ps "CenterOffsetStrategy"
1418matrix (Matrix
1419text (MLText
1420va (VaSet
1421font "Courier New,8,0"
1422)
1423xt "0,12000,11500,12800"
1424st "Generic Declarations"
1425)
1426header "Generic Declarations"
1427showHdrWhenContentsEmpty 1
1428)
1429elements [
1430]
1431)
1432portInstanceVisAsIs 1
1433portInstanceVis (PortSigDisplay
1434sIVOD 1
1435)
1436portVis (PortSigDisplay
1437sIVOD 1
1438)
1439)
1440defaultCptPort (CptPort
1441ps "OnEdgeStrategy"
1442shape (Triangle
1443ro 90
1444va (VaSet
1445vasetType 1
1446fg "0,65535,0"
1447)
1448xt "0,0,750,750"
1449)
1450tg (CPTG
1451ps "CptPortTextPlaceStrategy"
1452stg "VerticalLayoutStrategy"
1453f (Text
1454va (VaSet
1455)
1456xt "0,750,1400,1750"
1457st "In0"
1458blo "0,1550"
1459tm "CptPortNameMgr"
1460)
1461)
1462dt (MLText
1463va (VaSet
1464font "Courier New,8,0"
1465)
1466)
1467thePort (LogicalPort
1468decl (Decl
1469n "In0"
1470t "std_logic_vector"
1471b "(15 DOWNTO 0)"
1472o 0
1473)
1474)
1475)
1476defaultCptPortBuffer (CptPort
1477ps "OnEdgeStrategy"
1478shape (Diamond
1479va (VaSet
1480vasetType 1
1481fg "65535,65535,65535"
1482bg "0,0,0"
1483)
1484xt "0,0,750,750"
1485)
1486tg (CPTG
1487ps "CptPortTextPlaceStrategy"
1488stg "VerticalLayoutStrategy"
1489f (Text
1490va (VaSet
1491)
1492xt "0,750,2800,1750"
1493st "Buffer0"
1494blo "0,1550"
1495tm "CptPortNameMgr"
1496)
1497)
1498dt (MLText
1499va (VaSet
1500font "Courier New,8,0"
1501)
1502)
1503thePort (LogicalPort
1504m 3
1505decl (Decl
1506n "Buffer0"
1507t "std_logic_vector"
1508b "(15 DOWNTO 0)"
1509o 0
1510)
1511)
1512)
1513DeclarativeBlock *84 (SymDeclBlock
1514uid 1,0
1515stg "SymDeclLayoutStrategy"
1516declLabel (Text
1517uid 2,0
1518va (VaSet
1519font "Arial,8,1"
1520)
1521xt "0,5000,5400,6000"
1522st "Declarations"
1523blo "0,5800"
1524)
1525portLabel (Text
1526uid 3,0
1527va (VaSet
1528font "Arial,8,1"
1529)
1530xt "0,6000,2700,7000"
1531st "Ports:"
1532blo "0,6800"
1533)
1534externalLabel (Text
1535uid 4,0
1536va (VaSet
1537font "Arial,8,1"
1538)
1539xt "0,10200,2400,11200"
1540st "User:"
1541blo "0,11000"
1542)
1543internalLabel (Text
1544uid 6,0
1545va (VaSet
1546isHidden 1
1547font "Arial,8,1"
1548)
1549xt "0,5000,5800,6000"
1550st "Internal User:"
1551blo "0,5800"
1552)
1553externalText (MLText
1554uid 5,0
1555va (VaSet
1556font "Courier New,8,0"
1557)
1558xt "2000,11200,2000,11200"
1559tm "SyDeclarativeTextMgr"
1560)
1561internalText (MLText
1562uid 7,0
1563va (VaSet
1564isHidden 1
1565font "Courier New,8,0"
1566)
1567xt "0,5000,0,5000"
1568tm "SyDeclarativeTextMgr"
1569)
1570)
1571lastUid 191,0
1572activeModelName "Symbol:CDM"
1573)
Note: See TracBrowser for help on using the repository browser.