DocumentHdrVersion "1.1" Header (DocumentHdr version 2 dmPackageRefs [ (DmPackageRef library "ieee" unitName "std_logic_1164" ) (DmPackageRef library "ieee" unitName "std_logic_unsigned" ) (DmPackageRef library "ieee" unitName "std_logic_textio" ) (DmPackageRef library "std" unitName "textio" ) ] libraryRefs [ "ieee" "std" ] ) version "24.1" appVersion "2009.2 (Build 10)" model (Symbol commonDM (CommonDM ldm (LogicalDM ordering 1 suid 4,0 usingSuid 1 emptyRow *1 (LEmptyRow ) uid 87,0 optionalChildren [ *2 (RefLabelRowHdr ) *3 (TitleRowHdr ) *4 (FilterRowHdr ) *5 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *6 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *7 (GroupColHdr tm "GroupColHdrMgr" ) *8 (NameColHdr tm "NameColHdrMgr" ) *9 (ModeColHdr tm "ModeColHdrMgr" ) *10 (TypeColHdr tm "TypeColHdrMgr" ) *11 (BoundsColHdr tm "BoundsColHdrMgr" ) *12 (InitColHdr tm "InitColHdrMgr" ) *13 (EolColHdr tm "EolColHdrMgr" ) *14 (LogPort port (LogicalPort decl (Decl n "clk" t "STD_LOGIC" preAdd 0 posAdd 0 o 1 suid 1,0 ) ) uid 74,0 ) *15 (LogPort port (LogicalPort m 1 decl (Decl n "data" t "STD_LOGIC_VECTOR" b "(11 DOWNTO 0)" preAdd 0 posAdd 0 o 2 suid 2,0 ) ) uid 76,0 ) *16 (LogPort port (LogicalPort m 1 decl (Decl n "otr" t "STD_LOGIC" preAdd 0 posAdd 0 o 3 suid 3,0 ) ) uid 78,0 ) *17 (LogPort port (LogicalPort decl (Decl n "oeb" t "STD_LOGIC" preAdd 0 posAdd 0 o 4 suid 4,0 ) ) uid 80,0 ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 100,0 optionalChildren [ *18 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *19 (MRCItem litem &1 pos 3 dimension 20 ) uid 102,0 optionalChildren [ *20 (MRCItem litem &2 pos 0 dimension 20 uid 103,0 ) *21 (MRCItem litem &3 pos 1 dimension 23 uid 104,0 ) *22 (MRCItem litem &4 pos 2 hidden 1 dimension 20 uid 105,0 ) *23 (MRCItem litem &14 pos 0 dimension 20 uid 75,0 ) *24 (MRCItem litem &15 pos 1 dimension 20 uid 77,0 ) *25 (MRCItem litem &16 pos 2 dimension 20 uid 79,0 ) *26 (MRCItem litem &17 pos 3 dimension 20 uid 81,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 106,0 optionalChildren [ *27 (MRCItem litem &5 pos 0 dimension 20 uid 107,0 ) *28 (MRCItem litem &7 pos 1 dimension 50 uid 108,0 ) *29 (MRCItem litem &8 pos 2 dimension 100 uid 109,0 ) *30 (MRCItem litem &9 pos 3 dimension 50 uid 110,0 ) *31 (MRCItem litem &10 pos 4 dimension 100 uid 111,0 ) *32 (MRCItem litem &11 pos 5 dimension 100 uid 112,0 ) *33 (MRCItem litem &12 pos 6 dimension 50 uid 113,0 ) *34 (MRCItem litem &13 pos 7 dimension 80 uid 114,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" uid 101,0 vaOverrides [ ] ) ] ) uid 86,0 ) genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *35 (LEmptyRow ) uid 116,0 optionalChildren [ *36 (RefLabelRowHdr ) *37 (TitleRowHdr ) *38 (FilterRowHdr ) *39 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *40 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *41 (GroupColHdr tm "GroupColHdrMgr" ) *42 (NameColHdr tm "GenericNameColHdrMgr" ) *43 (TypeColHdr tm "GenericTypeColHdrMgr" ) *44 (InitColHdr tm "GenericValueColHdrMgr" ) *45 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) *46 (EolColHdr tm "GenericEolColHdrMgr" ) *47 (LogGeneric generic (GiElement name "INPUT_FILE" type "string" value "\"filename\"" ) uid 167,0 ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 128,0 optionalChildren [ *48 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *49 (MRCItem litem &35 pos 3 dimension 20 ) uid 130,0 optionalChildren [ *50 (MRCItem litem &36 pos 0 dimension 20 uid 131,0 ) *51 (MRCItem litem &37 pos 1 dimension 23 uid 132,0 ) *52 (MRCItem litem &38 pos 2 hidden 1 dimension 20 uid 133,0 ) *53 (MRCItem litem &47 pos 0 dimension 20 uid 168,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 134,0 optionalChildren [ *54 (MRCItem litem &39 pos 0 dimension 20 uid 135,0 ) *55 (MRCItem litem &41 pos 1 dimension 50 uid 136,0 ) *56 (MRCItem litem &42 pos 2 dimension 100 uid 137,0 ) *57 (MRCItem litem &43 pos 3 dimension 100 uid 138,0 ) *58 (MRCItem litem &44 pos 4 dimension 50 uid 139,0 ) *59 (MRCItem litem &45 pos 5 dimension 50 uid 140,0 ) *60 (MRCItem litem &46 pos 6 dimension 80 uid 141,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" uid 129,0 vaOverrides [ ] ) ] ) uid 115,0 type 1 ) VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl" ) (vvPair variable "HDSDir" value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds" ) (vvPair variable "SideDataDesignDir" value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator\\symbol.sb.user" ) (vvPair variable "SourceDir" value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds" ) (vvPair variable "appl" value "HDL Designer" ) (vvPair variable "arch_name" value "symbol" ) (vvPair variable "config" value "%(unit)_config" ) (vvPair variable "d" value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator" ) (vvPair variable "d_logical" value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator" ) (vvPair variable "date" value "23.06.2010" ) (vvPair variable "day" value "Mi" ) (vvPair variable "day_long" value "Mittwoch" ) (vvPair variable "dd" value "23" ) (vvPair variable "entity_name" value "adc_emulator" ) (vvPair variable "ext" value "" ) (vvPair variable "f" value "symbol.sb" ) (vvPair variable "f_logical" value "symbol.sb" ) (vvPair variable "f_noext" value "symbol" ) (vvPair variable "group" value "UNKNOWN" ) (vvPair variable "host" value "EEPC8" ) (vvPair variable "language" value "VHDL" ) (vvPair variable "library" value "FACT_FAD_TB_lib" ) (vvPair variable "library_downstream_ModelSimCompiler" value "$HDS_PROJECT_DIR\\FACT_FAD_TB_lib\\work" ) (vvPair variable "mm" value "06" ) (vvPair variable "module_name" value "adc_emulator" ) (vvPair variable "month" value "Jun" ) (vvPair variable "month_long" value "Juni" ) (vvPair variable "p" value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator\\symbol.sb" ) (vvPair variable "p_logical" value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator\\symbol.sb" ) (vvPair variable "package_name" value "" ) (vvPair variable "project_name" value "FACT_FAD" ) (vvPair variable "series" value "HDL Designer Series" ) (vvPair variable "task_DesignCompilerPath" value "" ) (vvPair variable "task_LeonardoPath" value "" ) (vvPair variable "task_ModelSimPath" value "$HDS_HOME/../Modeltech/win32" ) (vvPair variable "task_NC-SimPath" value "" ) (vvPair variable "task_PrecisionRTLPath" value "$HDS_HOME/../Precision/Mgc_home/bin" ) (vvPair variable "task_QuestaSimPath" value "" ) (vvPair variable "task_VCSPath" value "" ) (vvPair variable "this_ext" value "sb" ) (vvPair variable "this_file" value "symbol" ) (vvPair variable "this_file_logical" value "symbol" ) (vvPair variable "time" value "11:49:58" ) (vvPair variable "unit" value "adc_emulator" ) (vvPair variable "user" value "Benjamin Krumm" ) (vvPair variable "version" value "2009.2 (Build 10)" ) (vvPair variable "view" value "symbol" ) (vvPair variable "year" value "2010" ) (vvPair variable "yy" value "10" ) ] ) LanguageMgr "VhdlLangMgr" uid 85,0 optionalChildren [ *61 (SymbolBody uid 8,0 optionalChildren [ *62 (CptPort uid 48,0 ps "OnEdgeStrategy" shape (Triangle uid 49,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "28250,11625,29000,12375" ) tg (CPTG uid 50,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 51,0 va (VaSet ) xt "30000,11500,31300,12500" st "clk" blo "30000,12300" tm "CptPortNameMgr" ) ) dt (MLText uid 52,0 va (VaSet font "Courier New,8,0" ) xt "2000,7000,16500,7800" st "clk : IN STD_LOGIC ;" ) thePort (LogicalPort decl (Decl n "clk" t "STD_LOGIC" preAdd 0 posAdd 0 o 1 suid 1,0 ) ) ) *63 (CptPort uid 53,0 ps "OnEdgeStrategy" shape (Triangle uid 54,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "39000,13625,39750,14375" ) tg (CPTG uid 55,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 56,0 va (VaSet ) xt "33200,13500,38000,14500" st "data : (11:0)" ju 2 blo "38000,14300" tm "CptPortNameMgr" ) ) dt (MLText uid 57,0 va (VaSet font "Courier New,8,0" ) xt "2000,7800,27000,8600" st "data : OUT STD_LOGIC_VECTOR (11 DOWNTO 0) ;" ) thePort (LogicalPort m 1 decl (Decl n "data" t "STD_LOGIC_VECTOR" b "(11 DOWNTO 0)" preAdd 0 posAdd 0 o 2 suid 2,0 ) ) ) *64 (CptPort uid 58,0 ps "OnEdgeStrategy" shape (Triangle uid 59,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "39000,11625,39750,12375" ) tg (CPTG uid 60,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 61,0 va (VaSet ) xt "36700,11500,38000,12500" st "otr" ju 2 blo "38000,12300" tm "CptPortNameMgr" ) ) dt (MLText uid 62,0 va (VaSet font "Courier New,8,0" ) xt "2000,8600,16500,9400" st "otr : OUT STD_LOGIC ;" ) thePort (LogicalPort m 1 decl (Decl n "otr" t "STD_LOGIC" preAdd 0 posAdd 0 o 3 suid 3,0 ) ) ) *65 (CptPort uid 63,0 ps "OnEdgeStrategy" shape (Triangle uid 64,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "39000,12625,39750,13375" ) tg (CPTG uid 65,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 66,0 va (VaSet ) xt "36400,12500,38000,13500" st "oeb" ju 2 blo "38000,13300" tm "CptPortNameMgr" ) ) dt (MLText uid 67,0 va (VaSet font "Courier New,8,0" ) xt "2000,9400,15500,10200" st "oeb : IN STD_LOGIC " ) thePort (LogicalPort decl (Decl n "oeb" t "STD_LOGIC" preAdd 0 posAdd 0 o 4 suid 4,0 ) ) ) *66 (CommentText uid 71,0 ps "EdgeToEdgeStrategy" shape (Rectangle uid 72,0 layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "29000,2000,44000,6000" ) text (MLText uid 73,0 va (VaSet fg "0,0,32768" ) xt "29200,2200,41600,3200" st " " tm "CommentText" wrapOption 3 visibleHeight 4000 visibleWidth 15000 ) included 1 excludeCommentLeader 1 ) ] shape (Rectangle va (VaSet vasetType 1 fg "0,49152,49152" lineColor "0,0,50000" lineWidth 2 ) xt "29000,10000,39000,17000" ) biTextGroup (BiTextGroup uid 10,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" first (Text uid 11,0 va (VaSet font "Arial,8,1" ) xt "29200,17000,36900,18000" st "FACT_FAD_TB_lib" blo "29200,17800" ) second (Text uid 12,0 va (VaSet font "Arial,8,1" ) xt "29200,18000,35000,19000" st "adc_emulator" blo "29200,18800" ) ) gi *67 (GenericInterface uid 13,0 ps "CenterOffsetStrategy" matrix (Matrix uid 14,0 text (MLText uid 15,0 va (VaSet font "Courier New,8,0" ) xt "29000,5200,45500,7600" st "Generic Declarations INPUT_FILE string \"filename\" " ) header "Generic Declarations" showHdrWhenContentsEmpty 1 ) elements [ (GiElement name "INPUT_FILE" type "string" value "\"filename\"" ) ] ) portInstanceVisAsIs 1 portInstanceVis (PortSigDisplay sIVOD 1 ) portVis (PortSigDisplay sIVOD 1 ) ) *68 (Grouping uid 16,0 optionalChildren [ *69 (CommentText uid 18,0 shape (Rectangle uid 19,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "33000,25000,50000,26000" ) oxt "18000,70000,35000,71000" text (MLText uid 20,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "33200,25000,46200,26000" st " by %user on %dd %month %year " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *70 (CommentText uid 21,0 shape (Rectangle uid 22,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "50000,21000,54000,22000" ) oxt "35000,66000,39000,67000" text (MLText uid 23,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "50200,21000,53200,22000" st " Project: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *71 (CommentText uid 24,0 shape (Rectangle uid 25,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "33000,23000,50000,24000" ) oxt "18000,68000,35000,69000" text (MLText uid 26,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "33200,23000,43200,24000" st " " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *72 (CommentText uid 27,0 shape (Rectangle uid 28,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "29000,23000,33000,24000" ) oxt "14000,68000,18000,69000" text (MLText uid 29,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "29200,23000,31300,24000" st " Title: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *73 (CommentText uid 30,0 shape (Rectangle uid 31,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "50000,22000,70000,26000" ) oxt "35000,67000,55000,71000" text (MLText uid 32,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "50200,22200,59400,23200" st " " tm "CommentText" wrapOption 3 visibleHeight 4000 visibleWidth 20000 ) ignorePrefs 1 titleBlock 1 ) *74 (CommentText uid 33,0 shape (Rectangle uid 34,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "54000,21000,70000,22000" ) oxt "39000,66000,55000,67000" text (MLText uid 35,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "54200,21000,58700,22000" st " %project_name " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 16000 ) position 1 ignorePrefs 1 titleBlock 1 ) *75 (CommentText uid 36,0 shape (Rectangle uid 37,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "29000,21000,50000,23000" ) oxt "14000,66000,35000,68000" text (MLText uid 38,0 va (VaSet fg "32768,0,0" ) xt "36700,21000,42300,23000" st " TU Dortmund Physik / EE " ju 0 tm "CommentText" wrapOption 3 visibleHeight 2000 visibleWidth 21000 ) position 1 ignorePrefs 1 titleBlock 1 ) *76 (CommentText uid 39,0 shape (Rectangle uid 40,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "29000,24000,33000,25000" ) oxt "14000,69000,18000,70000" text (MLText uid 41,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "29200,24000,31300,25000" st " Path: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *77 (CommentText uid 42,0 shape (Rectangle uid 43,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "29000,25000,33000,26000" ) oxt "14000,70000,18000,71000" text (MLText uid 44,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "29200,25000,31900,26000" st " Edited: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *78 (CommentText uid 45,0 shape (Rectangle uid 46,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "33000,24000,50000,25000" ) oxt "18000,69000,35000,70000" text (MLText uid 47,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "33200,24000,48300,25000" st " %library/%unit/%view " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) ] shape (GroupingShape uid 17,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineStyle 2 lineWidth 2 ) xt "29000,21000,70000,26000" ) oxt "14000,66000,55000,71000" ) *79 (CommentText uid 68,0 shape (Rectangle uid 69,0 layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,-6000,33000,0" ) text (MLText uid 70,0 va (VaSet fg "0,0,32768" font "Arial,10,0" ) xt "200,-5800,32200,-600" st " Created using Mentor Graphics HDL2Graphics(TM) Technology on - 10:43:05 23.06.2010 from - D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl\\adc_emulator_beha.vhd " tm "CommentText" wrapOption 3 visibleHeight 5600 visibleWidth 32600 ) ) ] bg "65535,65535,65535" grid (Grid origin "0,0" isVisible 1 isActive 1 xSpacing 1000 xySpacing 1000 xShown 1 yShown 1 color "26368,26368,26368" ) packageList *80 (PackageList uid 82,0 stg "VerticalLayoutStrategy" textVec [ *81 (Text uid 83,0 va (VaSet font "Arial,8,1" ) xt "0,1000,5400,2000" st "Package List" blo "0,1800" ) *82 (MLText uid 84,0 va (VaSet ) xt "0,2000,12400,8000" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_textio.all; LIBRARY std; USE std.textio.all;" tm "PackageList" ) ] ) windowSize "0,0,1015,690" viewArea "0,0,0,0" cachedDiagramExtent "0,0,0,0" pageBreakOrigin "0,0" defaultCommentText (CommentText shape (Rectangle layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,0,15000,5000" ) text (MLText va (VaSet fg "0,0,32768" ) xt "200,200,2000,1200" st " Text " tm "CommentText" wrapOption 3 visibleHeight 4600 visibleWidth 14600 ) ) defaultPanel (Panel shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "32768,0,0" lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (Text va (VaSet font "Arial,8,1" ) xt "1000,1000,3800,2000" st "Panel0" blo "1000,1800" tm "PanelText" ) ) ) parentGraphicsRef (HdmGraphicsRef libraryName "" entityName "" viewName "" ) defaultSymbolBody (SymbolBody shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "15000,6000,33000,26000" ) biTextGroup (BiTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" first (Text va (VaSet font "Arial,8,1" ) xt "22200,15000,25800,16000" st "" blo "22200,15800" ) second (Text va (VaSet font "Arial,8,1" ) xt "22200,16000,24800,17000" st "" blo "22200,16800" ) ) gi *83 (GenericInterface ps "CenterOffsetStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "0,12000,11500,12800" st "Generic Declarations" ) header "Generic Declarations" showHdrWhenContentsEmpty 1 ) elements [ ] ) portInstanceVisAsIs 1 portInstanceVis (PortSigDisplay sIVOD 1 ) portVis (PortSigDisplay sIVOD 1 ) ) defaultCptPort (CptPort ps "OnEdgeStrategy" shape (Triangle ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,1400,1750" st "In0" blo "0,1550" tm "CptPortNameMgr" ) ) dt (MLText va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort decl (Decl n "In0" t "std_logic_vector" b "(15 DOWNTO 0)" o 0 ) ) ) defaultCptPortBuffer (CptPort ps "OnEdgeStrategy" shape (Diamond va (VaSet vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,2800,1750" st "Buffer0" blo "0,1550" tm "CptPortNameMgr" ) ) dt (MLText va (VaSet font "Courier New,8,0" ) ) thePort (LogicalPort m 3 decl (Decl n "Buffer0" t "std_logic_vector" b "(15 DOWNTO 0)" o 0 ) ) ) DeclarativeBlock *84 (SymDeclBlock uid 1,0 stg "SymDeclLayoutStrategy" declLabel (Text uid 2,0 va (VaSet font "Arial,8,1" ) xt "0,5000,5400,6000" st "Declarations" blo "0,5800" ) portLabel (Text uid 3,0 va (VaSet font "Arial,8,1" ) xt "0,6000,2700,7000" st "Ports:" blo "0,6800" ) externalLabel (Text uid 4,0 va (VaSet font "Arial,8,1" ) xt "0,10200,2400,11200" st "User:" blo "0,11000" ) internalLabel (Text uid 6,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "0,5000,5800,6000" st "Internal User:" blo "0,5800" ) externalText (MLText uid 5,0 va (VaSet font "Courier New,8,0" ) xt "2000,11200,2000,11200" tm "SyDeclarativeTextMgr" ) internalText (MLText uid 7,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "0,5000,0,5000" tm "SyDeclarativeTextMgr" ) ) lastUid 191,0 activeModelName "Symbol:CDM" )