source: firmware/FAD/FACT_FAD_TB_lib/hds/adc_emulator_tb/struct.bd

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 37.7 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13(DmPackageRef
14library "ieee"
15unitName "std_logic_unsigned"
16)
17(DmPackageRef
18library "ieee"
19unitName "std_logic_textio"
20)
21(DmPackageRef
22library "std"
23unitName "textio"
24)
25]
26instances [
27(Instance
28name "I_adcTB_adc"
29duLibraryName "FACT_FAD_TB_lib"
30duName "adc_emulator"
31elements [
32(GiElement
33name "INPUT_FILE"
34type "string"
35value "\"../memory_files/analog_input_ch0.txt\""
36)
37]
38mwi 0
39uid 138,0
40)
41(Instance
42name "I_adcTB_clock"
43duLibraryName "FACT_FAD_TB_lib"
44duName "clock_generator"
45elements [
46(GiElement
47name "clock_period"
48type "time"
49value "20 ns"
50)
51(GiElement
52name "reset_time"
53type "time"
54value "50 ns"
55)
56]
57mwi 0
58uid 175,0
59)
60]
61embeddedInstances [
62(EmbeddedInstance
63name "eb_adcTB_oeb"
64number "1"
65)
66]
67libraryRefs [
68"ieee"
69"std"
70]
71)
72version "29.1"
73appVersion "2009.2 (Build 10)"
74noEmbeddedEditors 1
75model (BlockDiag
76VExpander (VariableExpander
77vvMap [
78(vvPair
79variable "HDLDir"
80value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl"
81)
82(vvPair
83variable "HDSDir"
84value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
85)
86(vvPair
87variable "SideDataDesignDir"
88value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb\\struct.bd.info"
89)
90(vvPair
91variable "SideDataUserDir"
92value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb\\struct.bd.user"
93)
94(vvPair
95variable "SourceDir"
96value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
97)
98(vvPair
99variable "appl"
100value "HDL Designer"
101)
102(vvPair
103variable "arch_name"
104value "struct"
105)
106(vvPair
107variable "config"
108value "%(unit)_config"
109)
110(vvPair
111variable "d"
112value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb"
113)
114(vvPair
115variable "d_logical"
116value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb"
117)
118(vvPair
119variable "date"
120value "23.06.2010"
121)
122(vvPair
123variable "day"
124value "Mi"
125)
126(vvPair
127variable "day_long"
128value "Mittwoch"
129)
130(vvPair
131variable "dd"
132value "23"
133)
134(vvPair
135variable "entity_name"
136value "adc_emulator_tb"
137)
138(vvPair
139variable "ext"
140value "<TBD>"
141)
142(vvPair
143variable "f"
144value "struct.bd"
145)
146(vvPair
147variable "f_logical"
148value "struct.bd"
149)
150(vvPair
151variable "f_noext"
152value "struct"
153)
154(vvPair
155variable "group"
156value "UNKNOWN"
157)
158(vvPair
159variable "host"
160value "EEPC8"
161)
162(vvPair
163variable "language"
164value "VHDL"
165)
166(vvPair
167variable "library"
168value "FACT_FAD_TB_lib"
169)
170(vvPair
171variable "library_downstream_ModelSimCompiler"
172value "$HDS_PROJECT_DIR\\FACT_FAD_TB_lib\\work"
173)
174(vvPair
175variable "mm"
176value "06"
177)
178(vvPair
179variable "module_name"
180value "adc_emulator_tb"
181)
182(vvPair
183variable "month"
184value "Jun"
185)
186(vvPair
187variable "month_long"
188value "Juni"
189)
190(vvPair
191variable "p"
192value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb\\struct.bd"
193)
194(vvPair
195variable "p_logical"
196value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb\\struct.bd"
197)
198(vvPair
199variable "package_name"
200value "<Undefined Variable>"
201)
202(vvPair
203variable "project_name"
204value "FACT_FAD"
205)
206(vvPair
207variable "series"
208value "HDL Designer Series"
209)
210(vvPair
211variable "task_DesignCompilerPath"
212value "<TBD>"
213)
214(vvPair
215variable "task_LeonardoPath"
216value "<TBD>"
217)
218(vvPair
219variable "task_ModelSimPath"
220value "$HDS_HOME/../Modeltech/win32"
221)
222(vvPair
223variable "task_NC-SimPath"
224value "<TBD>"
225)
226(vvPair
227variable "task_PrecisionRTLPath"
228value "$HDS_HOME/../Precision/Mgc_home/bin"
229)
230(vvPair
231variable "task_QuestaSimPath"
232value "<TBD>"
233)
234(vvPair
235variable "task_VCSPath"
236value "<TBD>"
237)
238(vvPair
239variable "this_ext"
240value "bd"
241)
242(vvPair
243variable "this_file"
244value "struct"
245)
246(vvPair
247variable "this_file_logical"
248value "struct"
249)
250(vvPair
251variable "time"
252value "12:03:45"
253)
254(vvPair
255variable "unit"
256value "adc_emulator_tb"
257)
258(vvPair
259variable "user"
260value "Benjamin Krumm"
261)
262(vvPair
263variable "version"
264value "2009.2 (Build 10)"
265)
266(vvPair
267variable "view"
268value "struct"
269)
270(vvPair
271variable "year"
272value "2010"
273)
274(vvPair
275variable "yy"
276value "10"
277)
278]
279)
280LanguageMgr "VhdlLangMgr"
281uid 52,0
282optionalChildren [
283*1 (Grouping
284uid 9,0
285optionalChildren [
286*2 (CommentText
287uid 11,0
288shape (Rectangle
289uid 12,0
290sl 0
291va (VaSet
292vasetType 1
293fg "65280,65280,46080"
294)
295xt "36000,48000,53000,49000"
296)
297oxt "18000,70000,35000,71000"
298text (MLText
299uid 13,0
300va (VaSet
301fg "0,0,32768"
302bg "0,0,32768"
303)
304xt "36200,48000,49200,49000"
305st "
306by %user on %dd %month %year
307"
308tm "CommentText"
309wrapOption 3
310visibleHeight 1000
311visibleWidth 17000
312)
313position 1
314ignorePrefs 1
315titleBlock 1
316)
317*3 (CommentText
318uid 14,0
319shape (Rectangle
320uid 15,0
321sl 0
322va (VaSet
323vasetType 1
324fg "65280,65280,46080"
325)
326xt "53000,44000,57000,45000"
327)
328oxt "35000,66000,39000,67000"
329text (MLText
330uid 16,0
331va (VaSet
332fg "0,0,32768"
333bg "0,0,32768"
334)
335xt "53200,44000,56200,45000"
336st "
337Project:
338"
339tm "CommentText"
340wrapOption 3
341visibleHeight 1000
342visibleWidth 4000
343)
344position 1
345ignorePrefs 1
346titleBlock 1
347)
348*4 (CommentText
349uid 17,0
350shape (Rectangle
351uid 18,0
352sl 0
353va (VaSet
354vasetType 1
355fg "65280,65280,46080"
356)
357xt "36000,46000,53000,47000"
358)
359oxt "18000,68000,35000,69000"
360text (MLText
361uid 19,0
362va (VaSet
363fg "0,0,32768"
364bg "0,0,32768"
365)
366xt "36200,46000,46000,47000"
367st "
368ADC Emulator Testbench
369"
370tm "CommentText"
371wrapOption 3
372visibleHeight 1000
373visibleWidth 17000
374)
375position 1
376ignorePrefs 1
377titleBlock 1
378)
379*5 (CommentText
380uid 20,0
381shape (Rectangle
382uid 21,0
383sl 0
384va (VaSet
385vasetType 1
386fg "65280,65280,46080"
387)
388xt "32000,46000,36000,47000"
389)
390oxt "14000,68000,18000,69000"
391text (MLText
392uid 22,0
393va (VaSet
394fg "0,0,32768"
395bg "0,0,32768"
396)
397xt "32200,46000,34300,47000"
398st "
399Title:
400"
401tm "CommentText"
402wrapOption 3
403visibleHeight 1000
404visibleWidth 4000
405)
406position 1
407ignorePrefs 1
408titleBlock 1
409)
410*6 (CommentText
411uid 23,0
412shape (Rectangle
413uid 24,0
414sl 0
415va (VaSet
416vasetType 1
417fg "65280,65280,46080"
418)
419xt "53000,45000,73000,49000"
420)
421oxt "35000,67000,55000,71000"
422text (MLText
423uid 25,0
424va (VaSet
425fg "0,0,32768"
426bg "0,0,32768"
427)
428xt "53200,45200,62400,46200"
429st "
430<enter comments here>
431"
432tm "CommentText"
433wrapOption 3
434visibleHeight 4000
435visibleWidth 20000
436)
437ignorePrefs 1
438titleBlock 1
439)
440*7 (CommentText
441uid 26,0
442shape (Rectangle
443uid 27,0
444sl 0
445va (VaSet
446vasetType 1
447fg "65280,65280,46080"
448)
449xt "57000,44000,73000,45000"
450)
451oxt "39000,66000,55000,67000"
452text (MLText
453uid 28,0
454va (VaSet
455fg "0,0,32768"
456bg "0,0,32768"
457)
458xt "57200,44000,61700,45000"
459st "
460%project_name
461"
462tm "CommentText"
463wrapOption 3
464visibleHeight 1000
465visibleWidth 16000
466)
467position 1
468ignorePrefs 1
469titleBlock 1
470)
471*8 (CommentText
472uid 29,0
473shape (Rectangle
474uid 30,0
475sl 0
476va (VaSet
477vasetType 1
478fg "65280,65280,46080"
479)
480xt "32000,44000,53000,46000"
481)
482oxt "14000,66000,35000,68000"
483text (MLText
484uid 31,0
485va (VaSet
486fg "32768,0,0"
487)
488xt "39700,44000,45300,46000"
489st "
490TU Dortmund
491Physik / EE
492"
493ju 0
494tm "CommentText"
495wrapOption 3
496visibleHeight 2000
497visibleWidth 21000
498)
499position 1
500ignorePrefs 1
501titleBlock 1
502)
503*9 (CommentText
504uid 32,0
505shape (Rectangle
506uid 33,0
507sl 0
508va (VaSet
509vasetType 1
510fg "65280,65280,46080"
511)
512xt "32000,47000,36000,48000"
513)
514oxt "14000,69000,18000,70000"
515text (MLText
516uid 34,0
517va (VaSet
518fg "0,0,32768"
519bg "0,0,32768"
520)
521xt "32200,47000,34300,48000"
522st "
523Path:
524"
525tm "CommentText"
526wrapOption 3
527visibleHeight 1000
528visibleWidth 4000
529)
530position 1
531ignorePrefs 1
532titleBlock 1
533)
534*10 (CommentText
535uid 35,0
536shape (Rectangle
537uid 36,0
538sl 0
539va (VaSet
540vasetType 1
541fg "65280,65280,46080"
542)
543xt "32000,48000,36000,49000"
544)
545oxt "14000,70000,18000,71000"
546text (MLText
547uid 37,0
548va (VaSet
549fg "0,0,32768"
550bg "0,0,32768"
551)
552xt "32200,48000,34900,49000"
553st "
554Edited:
555"
556tm "CommentText"
557wrapOption 3
558visibleHeight 1000
559visibleWidth 4000
560)
561position 1
562ignorePrefs 1
563titleBlock 1
564)
565*11 (CommentText
566uid 38,0
567shape (Rectangle
568uid 39,0
569sl 0
570va (VaSet
571vasetType 1
572fg "65280,65280,46080"
573)
574xt "36000,47000,53000,48000"
575)
576oxt "18000,69000,35000,70000"
577text (MLText
578uid 40,0
579va (VaSet
580fg "0,0,32768"
581bg "0,0,32768"
582)
583xt "36200,47000,51900,48000"
584st "
585%library/%unit/%view
586"
587tm "CommentText"
588wrapOption 3
589visibleHeight 1000
590visibleWidth 17000
591)
592position 1
593ignorePrefs 1
594titleBlock 1
595)
596]
597shape (GroupingShape
598uid 10,0
599va (VaSet
600vasetType 1
601fg "65535,65535,65535"
602lineStyle 2
603lineWidth 2
604)
605xt "32000,44000,73000,49000"
606)
607oxt "14000,66000,55000,71000"
608)
609*12 (SaComponent
610uid 138,0
611optionalChildren [
612*13 (CptPort
613uid 148,0
614ps "OnEdgeStrategy"
615shape (Triangle
616uid 149,0
617ro 90
618va (VaSet
619vasetType 1
620fg "0,65535,0"
621)
622xt "31250,20625,32000,21375"
623)
624tg (CPTG
625uid 150,0
626ps "CptPortTextPlaceStrategy"
627stg "VerticalLayoutStrategy"
628f (Text
629uid 151,0
630va (VaSet
631)
632xt "33000,20500,34300,21500"
633st "clk"
634blo "33000,21300"
635)
636)
637thePort (LogicalPort
638decl (Decl
639n "clk"
640t "STD_LOGIC"
641preAdd 0
642posAdd 0
643o 1
644)
645)
646)
647*14 (CptPort
648uid 152,0
649ps "OnEdgeStrategy"
650shape (Triangle
651uid 153,0
652ro 90
653va (VaSet
654vasetType 1
655fg "0,65535,0"
656)
657xt "42000,22625,42750,23375"
658)
659tg (CPTG
660uid 154,0
661ps "CptPortTextPlaceStrategy"
662stg "RightVerticalLayoutStrategy"
663f (Text
664uid 155,0
665va (VaSet
666)
667xt "36200,22500,41000,23500"
668st "data : (11:0)"
669ju 2
670blo "41000,23300"
671)
672)
673thePort (LogicalPort
674m 1
675decl (Decl
676n "data"
677t "STD_LOGIC_VECTOR"
678b "(11 DOWNTO 0)"
679preAdd 0
680posAdd 0
681o 2
682)
683)
684)
685*15 (CptPort
686uid 156,0
687ps "OnEdgeStrategy"
688shape (Triangle
689uid 157,0
690ro 90
691va (VaSet
692vasetType 1
693fg "0,65535,0"
694)
695xt "42000,20625,42750,21375"
696)
697tg (CPTG
698uid 158,0
699ps "CptPortTextPlaceStrategy"
700stg "RightVerticalLayoutStrategy"
701f (Text
702uid 159,0
703va (VaSet
704)
705xt "39700,20500,41000,21500"
706st "otr"
707ju 2
708blo "41000,21300"
709)
710)
711thePort (LogicalPort
712m 1
713decl (Decl
714n "otr"
715t "STD_LOGIC"
716preAdd 0
717posAdd 0
718o 3
719)
720)
721)
722*16 (CptPort
723uid 160,0
724ps "OnEdgeStrategy"
725shape (Triangle
726uid 161,0
727ro 270
728va (VaSet
729vasetType 1
730fg "0,65535,0"
731)
732xt "42000,21625,42750,22375"
733)
734tg (CPTG
735uid 162,0
736ps "CptPortTextPlaceStrategy"
737stg "RightVerticalLayoutStrategy"
738f (Text
739uid 163,0
740va (VaSet
741)
742xt "39400,21500,41000,22500"
743st "oeb"
744ju 2
745blo "41000,22300"
746)
747)
748thePort (LogicalPort
749decl (Decl
750n "oeb"
751t "STD_LOGIC"
752preAdd 0
753posAdd 0
754o 4
755)
756)
757)
758]
759shape (Rectangle
760uid 139,0
761va (VaSet
762vasetType 1
763fg "0,49152,49152"
764lineColor "0,0,50000"
765lineWidth 2
766)
767xt "32000,19000,42000,26000"
768)
769oxt "29000,7000,39000,17000"
770ttg (MlTextGroup
771uid 140,0
772ps "CenterOffsetStrategy"
773stg "VerticalLayoutStrategy"
774textVec [
775*17 (Text
776uid 141,0
777va (VaSet
778font "Arial,8,1"
779)
780xt "32200,26000,39900,27000"
781st "FACT_FAD_TB_lib"
782blo "32200,26800"
783tm "BdLibraryNameMgr"
784)
785*18 (Text
786uid 142,0
787va (VaSet
788font "Arial,8,1"
789)
790xt "32200,27000,38000,28000"
791st "adc_emulator"
792blo "32200,27800"
793tm "CptNameMgr"
794)
795*19 (Text
796uid 143,0
797va (VaSet
798font "Arial,8,1"
799)
800xt "32200,28000,37700,29000"
801st "I_adcTB_adc"
802blo "32200,28800"
803tm "InstanceNameMgr"
804)
805]
806)
807ga (GenericAssociation
808uid 144,0
809ps "EdgeToEdgeStrategy"
810matrix (Matrix
811uid 145,0
812text (MLText
813uid 146,0
814va (VaSet
815font "Courier New,8,0"
816)
817xt "32000,18200,67500,19000"
818st "INPUT_FILE = \"../memory_files/analog_input_ch0.txt\" ( string ) "
819)
820header ""
821)
822elements [
823(GiElement
824name "INPUT_FILE"
825type "string"
826value "\"../memory_files/analog_input_ch0.txt\""
827)
828]
829)
830viewicon (ZoomableIcon
831uid 147,0
832sl 0
833va (VaSet
834vasetType 1
835fg "49152,49152,49152"
836)
837xt "32250,24250,33750,25750"
838iconName "VhdlFileViewIcon.png"
839iconMaskName "VhdlFileViewIcon.msk"
840ftype 10
841)
842ordering 1
843viewiconposition 0
844portVis (PortSigDisplay
845sIVOD 1
846)
847archFileType "UNKNOWN"
848)
849*20 (SaComponent
850uid 175,0
851optionalChildren [
852*21 (CptPort
853uid 164,0
854ps "OnEdgeStrategy"
855shape (Triangle
856uid 165,0
857ro 90
858va (VaSet
859vasetType 1
860fg "0,65535,0"
861)
862xt "22000,20625,22750,21375"
863)
864tg (CPTG
865uid 166,0
866ps "CptPortTextPlaceStrategy"
867stg "RightVerticalLayoutStrategy"
868f (Text
869uid 167,0
870va (VaSet
871)
872xt "19700,20500,21000,21500"
873st "clk"
874ju 2
875blo "21000,21300"
876)
877)
878thePort (LogicalPort
879m 1
880decl (Decl
881n "clk"
882t "std_logic"
883preAdd 0
884posAdd 0
885o 1
886suid 1,0
887i "'0'"
888)
889)
890)
891*22 (CptPort
892uid 168,0
893ps "OnEdgeStrategy"
894shape (Triangle
895uid 169,0
896ro 90
897va (VaSet
898vasetType 1
899fg "0,65535,0"
900)
901xt "22000,21625,22750,22375"
902)
903tg (CPTG
904uid 170,0
905ps "CptPortTextPlaceStrategy"
906stg "RightVerticalLayoutStrategy"
907f (Text
908uid 171,0
909va (VaSet
910)
911xt "19700,21500,21000,22500"
912st "rst"
913ju 2
914blo "21000,22300"
915)
916)
917thePort (LogicalPort
918m 1
919decl (Decl
920n "rst"
921t "std_logic"
922preAdd 0
923posAdd 0
924o 2
925suid 2,0
926i "'0'"
927)
928)
929)
930]
931shape (Rectangle
932uid 176,0
933va (VaSet
934vasetType 1
935fg "0,49152,49152"
936lineColor "0,0,50000"
937lineWidth 2
938)
939xt "14000,19000,22000,24000"
940)
941oxt "22000,15000,30000,19000"
942ttg (MlTextGroup
943uid 177,0
944ps "CenterOffsetStrategy"
945stg "VerticalLayoutStrategy"
946textVec [
947*23 (Text
948uid 178,0
949va (VaSet
950font "Arial,8,1"
951)
952xt "14150,24000,21850,25000"
953st "FACT_FAD_TB_lib"
954blo "14150,24800"
955tm "BdLibraryNameMgr"
956)
957*24 (Text
958uid 179,0
959va (VaSet
960font "Arial,8,1"
961)
962xt "14150,25000,20850,26000"
963st "clock_generator"
964blo "14150,25800"
965tm "CptNameMgr"
966)
967*25 (Text
968uid 180,0
969va (VaSet
970font "Arial,8,1"
971)
972xt "14150,26000,20250,27000"
973st "I_adcTB_clock"
974blo "14150,26800"
975tm "InstanceNameMgr"
976)
977]
978)
979ga (GenericAssociation
980uid 181,0
981ps "EdgeToEdgeStrategy"
982matrix (Matrix
983uid 182,0
984text (MLText
985uid 183,0
986va (VaSet
987font "Courier New,8,0"
988)
989xt "14000,17400,32500,19000"
990st "clock_period = 20 ns ( time )
991reset_time = 50 ns ( time ) "
992)
993header ""
994)
995elements [
996(GiElement
997name "clock_period"
998type "time"
999value "20 ns"
1000)
1001(GiElement
1002name "reset_time"
1003type "time"
1004value "50 ns"
1005)
1006]
1007)
1008viewicon (ZoomableIcon
1009uid 184,0
1010sl 0
1011va (VaSet
1012vasetType 1
1013fg "49152,49152,49152"
1014)
1015xt "14250,22250,15750,23750"
1016iconName "VhdlFileViewIcon.png"
1017iconMaskName "VhdlFileViewIcon.msk"
1018ftype 10
1019)
1020ordering 1
1021viewiconposition 0
1022portVis (PortSigDisplay
1023)
1024archFileType "UNKNOWN"
1025)
1026*26 (Net
1027uid 185,0
1028decl (Decl
1029n "clk"
1030t "std_logic"
1031preAdd 0
1032posAdd 0
1033o 1
1034suid 1,0
1035i "'0'"
1036)
1037declText (MLText
1038uid 186,0
1039va (VaSet
1040font "Courier New,8,0"
1041)
1042xt "22000,3000,38500,3800"
1043st "SIGNAL clk : std_logic := '0'
1044"
1045)
1046)
1047*27 (Net
1048uid 191,0
1049decl (Decl
1050n "data"
1051t "std_logic_vector"
1052b "(11 DOWNTO 0)"
1053preAdd 0
1054posAdd 0
1055o 2
1056suid 2,0
1057)
1058declText (MLText
1059uid 192,0
1060va (VaSet
1061font "Courier New,8,0"
1062)
1063xt "22000,3800,45500,4600"
1064st "SIGNAL data : std_logic_vector(11 DOWNTO 0)
1065"
1066)
1067)
1068*28 (Net
1069uid 199,0
1070decl (Decl
1071n "otr"
1072t "std_logic"
1073preAdd 0
1074posAdd 0
1075o 3
1076suid 3,0
1077)
1078declText (MLText
1079uid 200,0
1080va (VaSet
1081font "Courier New,8,0"
1082)
1083xt "22000,5400,35000,6200"
1084st "SIGNAL otr : std_logic
1085"
1086)
1087)
1088*29 (Net
1089uid 207,0
1090decl (Decl
1091n "oeb"
1092t "std_logic"
1093preAdd 0
1094posAdd 0
1095o 4
1096suid 4,0
1097)
1098declText (MLText
1099uid 208,0
1100va (VaSet
1101font "Courier New,8,0"
1102)
1103xt "22000,4600,35000,5400"
1104st "SIGNAL oeb : std_logic
1105"
1106)
1107)
1108*30 (HdlText
1109uid 223,0
1110optionalChildren [
1111*31 (EmbeddedText
1112uid 229,0
1113commentText (CommentText
1114uid 230,0
1115ps "CenterOffsetStrategy"
1116shape (Rectangle
1117uid 231,0
1118va (VaSet
1119vasetType 1
1120fg "65535,65535,65535"
1121lineColor "0,0,32768"
1122lineWidth 2
1123)
1124xt "54000,25000,67000,34000"
1125)
1126text (MLText
1127uid 232,0
1128va (VaSet
1129)
1130xt "54200,25200,66300,33200"
1131st "
1132-- eb_adcTB_oeb 1: enable ADC
1133enable_proc: process
1134begin
1135 oeb <= '1';
1136 wait for 1 us;
1137 oeb <= '0';
1138 wait;
1139end process enable_proc;
1140
1141"
1142tm "HdlTextMgr"
1143wrapOption 3
1144visibleHeight 9000
1145visibleWidth 13000
1146)
1147)
1148)
1149]
1150shape (Rectangle
1151uid 224,0
1152va (VaSet
1153vasetType 1
1154fg "65535,65535,37120"
1155lineColor "0,0,32768"
1156lineWidth 2
1157)
1158xt "54000,19000,62000,25000"
1159)
1160ttg (MlTextGroup
1161uid 225,0
1162ps "CenterOffsetStrategy"
1163stg "VerticalLayoutStrategy"
1164textVec [
1165*32 (Text
1166uid 226,0
1167va (VaSet
1168font "Arial,8,1"
1169)
1170xt "55150,20000,61450,21000"
1171st "eb_adcTB_oeb"
1172blo "55150,20800"
1173tm "HdlTextNameMgr"
1174)
1175*33 (Text
1176uid 227,0
1177va (VaSet
1178font "Arial,8,1"
1179)
1180xt "55150,21000,55950,22000"
1181st "1"
1182blo "55150,21800"
1183tm "HdlTextNumberMgr"
1184)
1185]
1186)
1187viewicon (ZoomableIcon
1188uid 228,0
1189sl 0
1190va (VaSet
1191vasetType 1
1192fg "49152,49152,49152"
1193)
1194xt "54250,23250,55750,24750"
1195iconName "TextFile.png"
1196iconMaskName "TextFile.msk"
1197ftype 21
1198)
1199viewiconposition 0
1200)
1201*34 (Wire
1202uid 187,0
1203shape (OrthoPolyLine
1204uid 188,0
1205va (VaSet
1206vasetType 3
1207)
1208xt "22750,21000,31250,21000"
1209pts [
1210"22750,21000"
1211"31250,21000"
1212]
1213)
1214start &21
1215end &13
1216sat 32
1217eat 32
1218st 0
1219sf 1
1220si 0
1221tg (WTG
1222uid 189,0
1223ps "ConnStartEndStrategy"
1224stg "STSignalDisplayStrategy"
1225f (Text
1226uid 190,0
1227va (VaSet
1228)
1229xt "26000,20000,27300,21000"
1230st "clk"
1231blo "26000,20800"
1232tm "WireNameMgr"
1233)
1234)
1235on &26
1236)
1237*35 (Wire
1238uid 193,0
1239shape (OrthoPolyLine
1240uid 194,0
1241va (VaSet
1242vasetType 3
1243lineWidth 2
1244)
1245xt "42750,23000,50000,23000"
1246pts [
1247"42750,23000"
1248"50000,23000"
1249]
1250)
1251start &14
1252sat 32
1253eat 16
1254sty 1
1255st 0
1256sf 1
1257tg (WTG
1258uid 197,0
1259ps "ConnStartEndStrategy"
1260stg "STSignalDisplayStrategy"
1261f (Text
1262uid 198,0
1263va (VaSet
1264)
1265xt "44000,22000,48800,23000"
1266st "data : (11:0)"
1267blo "44000,22800"
1268tm "WireNameMgr"
1269)
1270)
1271on &27
1272)
1273*36 (Wire
1274uid 201,0
1275shape (OrthoPolyLine
1276uid 202,0
1277va (VaSet
1278vasetType 3
1279)
1280xt "42750,21000,50000,21000"
1281pts [
1282"42750,21000"
1283"50000,21000"
1284]
1285)
1286start &15
1287sat 32
1288eat 16
1289st 0
1290sf 1
1291tg (WTG
1292uid 205,0
1293ps "ConnStartEndStrategy"
1294stg "STSignalDisplayStrategy"
1295f (Text
1296uid 206,0
1297va (VaSet
1298)
1299xt "44000,20000,45300,21000"
1300st "otr"
1301blo "44000,20800"
1302tm "WireNameMgr"
1303)
1304)
1305on &28
1306)
1307*37 (Wire
1308uid 209,0
1309shape (OrthoPolyLine
1310uid 210,0
1311va (VaSet
1312vasetType 3
1313)
1314xt "42750,22000,54000,22000"
1315pts [
1316"54000,22000"
1317"42750,22000"
1318]
1319)
1320start &30
1321end &16
1322sat 2
1323eat 32
1324st 0
1325sf 1
1326tg (WTG
1327uid 213,0
1328ps "ConnStartEndStrategy"
1329stg "STSignalDisplayStrategy"
1330f (Text
1331uid 214,0
1332va (VaSet
1333)
1334xt "44000,21000,45600,22000"
1335st "oeb"
1336blo "44000,21800"
1337tm "WireNameMgr"
1338)
1339)
1340on &29
1341)
1342]
1343bg "65535,65535,65535"
1344grid (Grid
1345origin "0,0"
1346isVisible 1
1347isActive 1
1348xSpacing 1000
1349xySpacing 1000
1350xShown 1
1351yShown 1
1352color "26368,26368,26368"
1353)
1354packageList *38 (PackageList
1355uid 41,0
1356stg "VerticalLayoutStrategy"
1357textVec [
1358*39 (Text
1359uid 42,0
1360va (VaSet
1361font "arial,8,1"
1362)
1363xt "0,0,5400,1000"
1364st "Package List"
1365blo "0,800"
1366)
1367*40 (MLText
1368uid 43,0
1369va (VaSet
1370)
1371xt "0,1000,12400,8000"
1372st "LIBRARY ieee;
1373USE ieee.std_logic_1164.all;
1374USE ieee.std_logic_arith.all;
1375USE ieee.std_logic_unsigned.all;
1376USE ieee.std_logic_textio.all;
1377LIBRARY std;
1378USE std.textio.all;"
1379tm "PackageList"
1380)
1381]
1382)
1383compDirBlock (MlTextGroup
1384uid 44,0
1385stg "VerticalLayoutStrategy"
1386textVec [
1387*41 (Text
1388uid 45,0
1389va (VaSet
1390isHidden 1
1391font "Arial,8,1"
1392)
1393xt "20000,0,28100,1000"
1394st "Compiler Directives"
1395blo "20000,800"
1396)
1397*42 (Text
1398uid 46,0
1399va (VaSet
1400isHidden 1
1401font "Arial,8,1"
1402)
1403xt "20000,1000,29600,2000"
1404st "Pre-module directives:"
1405blo "20000,1800"
1406)
1407*43 (MLText
1408uid 47,0
1409va (VaSet
1410isHidden 1
1411)
1412xt "20000,2000,27500,4000"
1413st "`resetall
1414`timescale 1ns/10ps"
1415tm "BdCompilerDirectivesTextMgr"
1416)
1417*44 (Text
1418uid 48,0
1419va (VaSet
1420isHidden 1
1421font "Arial,8,1"
1422)
1423xt "20000,4000,30100,5000"
1424st "Post-module directives:"
1425blo "20000,4800"
1426)
1427*45 (MLText
1428uid 49,0
1429va (VaSet
1430isHidden 1
1431)
1432xt "20000,0,20000,0"
1433tm "BdCompilerDirectivesTextMgr"
1434)
1435*46 (Text
1436uid 50,0
1437va (VaSet
1438isHidden 1
1439font "Arial,8,1"
1440)
1441xt "20000,5000,29900,6000"
1442st "End-module directives:"
1443blo "20000,5800"
1444)
1445*47 (MLText
1446uid 51,0
1447va (VaSet
1448isHidden 1
1449)
1450xt "20000,6000,20000,6000"
1451tm "BdCompilerDirectivesTextMgr"
1452)
1453]
1454associable 1
1455)
1456windowSize "-4,-4,1284,998"
1457viewArea "-8133,-7544,70472,53176"
1458cachedDiagramExtent "0,0,73000,49000"
1459hasePageBreakOrigin 1
1460pageBreakOrigin "0,0"
1461lastUid 232,0
1462defaultCommentText (CommentText
1463shape (Rectangle
1464layer 0
1465va (VaSet
1466vasetType 1
1467fg "65280,65280,46080"
1468lineColor "0,0,32768"
1469)
1470xt "0,0,15000,5000"
1471)
1472text (MLText
1473va (VaSet
1474fg "0,0,32768"
1475)
1476xt "200,200,2000,1200"
1477st "
1478Text
1479"
1480tm "CommentText"
1481wrapOption 3
1482visibleHeight 4600
1483visibleWidth 14600
1484)
1485)
1486defaultPanel (Panel
1487shape (RectFrame
1488va (VaSet
1489vasetType 1
1490fg "65535,65535,65535"
1491lineColor "32768,0,0"
1492lineWidth 2
1493)
1494xt "0,0,20000,20000"
1495)
1496title (TextAssociate
1497ps "TopLeftStrategy"
1498text (Text
1499va (VaSet
1500font "Arial,8,1"
1501)
1502xt "1000,1000,3800,2000"
1503st "Panel0"
1504blo "1000,1800"
1505tm "PanelText"
1506)
1507)
1508)
1509defaultBlk (Blk
1510shape (Rectangle
1511va (VaSet
1512vasetType 1
1513fg "39936,56832,65280"
1514lineColor "0,0,32768"
1515lineWidth 2
1516)
1517xt "0,0,8000,10000"
1518)
1519ttg (MlTextGroup
1520ps "CenterOffsetStrategy"
1521stg "VerticalLayoutStrategy"
1522textVec [
1523*48 (Text
1524va (VaSet
1525font "Arial,8,1"
1526)
1527xt "2200,3500,5800,4500"
1528st "<library>"
1529blo "2200,4300"
1530tm "BdLibraryNameMgr"
1531)
1532*49 (Text
1533va (VaSet
1534font "Arial,8,1"
1535)
1536xt "2200,4500,5600,5500"
1537st "<block>"
1538blo "2200,5300"
1539tm "BlkNameMgr"
1540)
1541*50 (Text
1542va (VaSet
1543font "Arial,8,1"
1544)
1545xt "2200,5500,3200,6500"
1546st "I0"
1547blo "2200,6300"
1548tm "InstanceNameMgr"
1549)
1550]
1551)
1552ga (GenericAssociation
1553ps "EdgeToEdgeStrategy"
1554matrix (Matrix
1555text (MLText
1556va (VaSet
1557font "Courier New,8,0"
1558)
1559xt "2200,13500,2200,13500"
1560)
1561header ""
1562)
1563elements [
1564]
1565)
1566viewicon (ZoomableIcon
1567sl 0
1568va (VaSet
1569vasetType 1
1570fg "49152,49152,49152"
1571)
1572xt "0,0,1500,1500"
1573iconName "UnknownFile.png"
1574iconMaskName "UnknownFile.msk"
1575)
1576viewiconposition 0
1577)
1578defaultMWComponent (MWC
1579shape (Rectangle
1580va (VaSet
1581vasetType 1
1582fg "0,65535,0"
1583lineColor "0,32896,0"
1584lineWidth 2
1585)
1586xt "0,0,8000,10000"
1587)
1588ttg (MlTextGroup
1589ps "CenterOffsetStrategy"
1590stg "VerticalLayoutStrategy"
1591textVec [
1592*51 (Text
1593va (VaSet
1594font "Arial,8,1"
1595)
1596xt "550,3500,3450,4500"
1597st "Library"
1598blo "550,4300"
1599)
1600*52 (Text
1601va (VaSet
1602font "Arial,8,1"
1603)
1604xt "550,4500,7450,5500"
1605st "MWComponent"
1606blo "550,5300"
1607)
1608*53 (Text
1609va (VaSet
1610font "Arial,8,1"
1611)
1612xt "550,5500,1550,6500"
1613st "I0"
1614blo "550,6300"
1615tm "InstanceNameMgr"
1616)
1617]
1618)
1619ga (GenericAssociation
1620ps "EdgeToEdgeStrategy"
1621matrix (Matrix
1622text (MLText
1623va (VaSet
1624font "Courier New,8,0"
1625)
1626xt "-6450,1500,-6450,1500"
1627)
1628header ""
1629)
1630elements [
1631]
1632)
1633portVis (PortSigDisplay
1634)
1635prms (Property
1636pclass "params"
1637pname "params"
1638ptn "String"
1639)
1640visOptions (mwParamsVisibilityOptions
1641)
1642)
1643defaultSaComponent (SaComponent
1644shape (Rectangle
1645va (VaSet
1646vasetType 1
1647fg "0,65535,0"
1648lineColor "0,32896,0"
1649lineWidth 2
1650)
1651xt "0,0,8000,10000"
1652)
1653ttg (MlTextGroup
1654ps "CenterOffsetStrategy"
1655stg "VerticalLayoutStrategy"
1656textVec [
1657*54 (Text
1658va (VaSet
1659font "Arial,8,1"
1660)
1661xt "900,3500,3800,4500"
1662st "Library"
1663blo "900,4300"
1664tm "BdLibraryNameMgr"
1665)
1666*55 (Text
1667va (VaSet
1668font "Arial,8,1"
1669)
1670xt "900,4500,7100,5500"
1671st "SaComponent"
1672blo "900,5300"
1673tm "CptNameMgr"
1674)
1675*56 (Text
1676va (VaSet
1677font "Arial,8,1"
1678)
1679xt "900,5500,1900,6500"
1680st "I0"
1681blo "900,6300"
1682tm "InstanceNameMgr"
1683)
1684]
1685)
1686ga (GenericAssociation
1687ps "EdgeToEdgeStrategy"
1688matrix (Matrix
1689text (MLText
1690va (VaSet
1691font "Courier New,8,0"
1692)
1693xt "-6100,1500,-6100,1500"
1694)
1695header ""
1696)
1697elements [
1698]
1699)
1700viewicon (ZoomableIcon
1701sl 0
1702va (VaSet
1703vasetType 1
1704fg "49152,49152,49152"
1705)
1706xt "0,0,1500,1500"
1707iconName "UnknownFile.png"
1708iconMaskName "UnknownFile.msk"
1709)
1710viewiconposition 0
1711portVis (PortSigDisplay
1712)
1713archFileType "UNKNOWN"
1714)
1715defaultVhdlComponent (VhdlComponent
1716shape (Rectangle
1717va (VaSet
1718vasetType 1
1719fg "0,65535,0"
1720lineColor "0,32896,0"
1721lineWidth 2
1722)
1723xt "0,0,8000,10000"
1724)
1725ttg (MlTextGroup
1726ps "CenterOffsetStrategy"
1727stg "VerticalLayoutStrategy"
1728textVec [
1729*57 (Text
1730va (VaSet
1731font "Arial,8,1"
1732)
1733xt "500,3500,3400,4500"
1734st "Library"
1735blo "500,4300"
1736)
1737*58 (Text
1738va (VaSet
1739font "Arial,8,1"
1740)
1741xt "500,4500,7500,5500"
1742st "VhdlComponent"
1743blo "500,5300"
1744)
1745*59 (Text
1746va (VaSet
1747font "Arial,8,1"
1748)
1749xt "500,5500,1500,6500"
1750st "I0"
1751blo "500,6300"
1752tm "InstanceNameMgr"
1753)
1754]
1755)
1756ga (GenericAssociation
1757ps "EdgeToEdgeStrategy"
1758matrix (Matrix
1759text (MLText
1760va (VaSet
1761font "Courier New,8,0"
1762)
1763xt "-6500,1500,-6500,1500"
1764)
1765header ""
1766)
1767elements [
1768]
1769)
1770portVis (PortSigDisplay
1771)
1772entityPath ""
1773archName ""
1774archPath ""
1775)
1776defaultVerilogComponent (VerilogComponent
1777shape (Rectangle
1778va (VaSet
1779vasetType 1
1780fg "0,65535,0"
1781lineColor "0,32896,0"
1782lineWidth 2
1783)
1784xt "-450,0,8450,10000"
1785)
1786ttg (MlTextGroup
1787ps "CenterOffsetStrategy"
1788stg "VerticalLayoutStrategy"
1789textVec [
1790*60 (Text
1791va (VaSet
1792font "Arial,8,1"
1793)
1794xt "50,3500,2950,4500"
1795st "Library"
1796blo "50,4300"
1797)
1798*61 (Text
1799va (VaSet
1800font "Arial,8,1"
1801)
1802xt "50,4500,7950,5500"
1803st "VerilogComponent"
1804blo "50,5300"
1805)
1806*62 (Text
1807va (VaSet
1808font "Arial,8,1"
1809)
1810xt "50,5500,1050,6500"
1811st "I0"
1812blo "50,6300"
1813tm "InstanceNameMgr"
1814)
1815]
1816)
1817ga (GenericAssociation
1818ps "EdgeToEdgeStrategy"
1819matrix (Matrix
1820text (MLText
1821va (VaSet
1822font "Courier New,8,0"
1823)
1824xt "-6950,1500,-6950,1500"
1825)
1826header ""
1827)
1828elements [
1829]
1830)
1831entityPath ""
1832)
1833defaultHdlText (HdlText
1834shape (Rectangle
1835va (VaSet
1836vasetType 1
1837fg "65535,65535,37120"
1838lineColor "0,0,32768"
1839lineWidth 2
1840)
1841xt "0,0,8000,10000"
1842)
1843ttg (MlTextGroup
1844ps "CenterOffsetStrategy"
1845stg "VerticalLayoutStrategy"
1846textVec [
1847*63 (Text
1848va (VaSet
1849font "Arial,8,1"
1850)
1851xt "3150,4000,4850,5000"
1852st "eb1"
1853blo "3150,4800"
1854tm "HdlTextNameMgr"
1855)
1856*64 (Text
1857va (VaSet
1858font "Arial,8,1"
1859)
1860xt "3150,5000,3950,6000"
1861st "1"
1862blo "3150,5800"
1863tm "HdlTextNumberMgr"
1864)
1865]
1866)
1867viewicon (ZoomableIcon
1868sl 0
1869va (VaSet
1870vasetType 1
1871fg "49152,49152,49152"
1872)
1873xt "0,0,1500,1500"
1874iconName "UnknownFile.png"
1875iconMaskName "UnknownFile.msk"
1876)
1877viewiconposition 0
1878)
1879defaultEmbeddedText (EmbeddedText
1880commentText (CommentText
1881ps "CenterOffsetStrategy"
1882shape (Rectangle
1883va (VaSet
1884vasetType 1
1885fg "65535,65535,65535"
1886lineColor "0,0,32768"
1887lineWidth 2
1888)
1889xt "0,0,18000,5000"
1890)
1891text (MLText
1892va (VaSet
1893)
1894xt "200,200,2000,1200"
1895st "
1896Text
1897"
1898tm "HdlTextMgr"
1899wrapOption 3
1900visibleHeight 4600
1901visibleWidth 17600
1902)
1903)
1904)
1905defaultGlobalConnector (GlobalConnector
1906shape (Circle
1907va (VaSet
1908vasetType 1
1909fg "65535,65535,0"
1910)
1911xt "-1000,-1000,1000,1000"
1912radius 1000
1913)
1914name (Text
1915va (VaSet
1916font "Arial,8,1"
1917)
1918xt "-500,-500,500,500"
1919st "G"
1920blo "-500,300"
1921)
1922)
1923defaultRipper (Ripper
1924ps "OnConnectorStrategy"
1925shape (Line2D
1926pts [
1927"0,0"
1928"1000,1000"
1929]
1930va (VaSet
1931vasetType 1
1932)
1933xt "0,0,1000,1000"
1934)
1935)
1936defaultBdJunction (BdJunction
1937ps "OnConnectorStrategy"
1938shape (Circle
1939va (VaSet
1940vasetType 1
1941)
1942xt "-400,-400,400,400"
1943radius 400
1944)
1945)
1946defaultPortIoIn (PortIoIn
1947shape (CompositeShape
1948va (VaSet
1949vasetType 1
1950fg "0,0,32768"
1951)
1952optionalChildren [
1953(Pentagon
1954sl 0
1955ro 270
1956xt "-2000,-375,-500,375"
1957)
1958(Line
1959sl 0
1960ro 270
1961xt "-500,0,0,0"
1962pts [
1963"-500,0"
1964"0,0"
1965]
1966)
1967]
1968)
1969stc 0
1970sf 1
1971tg (WTG
1972ps "PortIoTextPlaceStrategy"
1973stg "STSignalDisplayStrategy"
1974f (Text
1975va (VaSet
1976)
1977xt "-1375,-1000,-1375,-1000"
1978ju 2
1979blo "-1375,-1000"
1980tm "WireNameMgr"
1981)
1982)
1983)
1984defaultPortIoOut (PortIoOut
1985shape (CompositeShape
1986va (VaSet
1987vasetType 1
1988fg "0,0,32768"
1989)
1990optionalChildren [
1991(Pentagon
1992sl 0
1993ro 270
1994xt "500,-375,2000,375"
1995)
1996(Line
1997sl 0
1998ro 270
1999xt "0,0,500,0"
2000pts [
2001"0,0"
2002"500,0"
2003]
2004)
2005]
2006)
2007stc 0
2008sf 1
2009tg (WTG
2010ps "PortIoTextPlaceStrategy"
2011stg "STSignalDisplayStrategy"
2012f (Text
2013va (VaSet
2014)
2015xt "625,-1000,625,-1000"
2016blo "625,-1000"
2017tm "WireNameMgr"
2018)
2019)
2020)
2021defaultPortIoInOut (PortIoInOut
2022shape (CompositeShape
2023va (VaSet
2024vasetType 1
2025fg "0,0,32768"
2026)
2027optionalChildren [
2028(Hexagon
2029sl 0
2030xt "500,-375,2000,375"
2031)
2032(Line
2033sl 0
2034xt "0,0,500,0"
2035pts [
2036"0,0"
2037"500,0"
2038]
2039)
2040]
2041)
2042stc 0
2043sf 1
2044tg (WTG
2045ps "PortIoTextPlaceStrategy"
2046stg "STSignalDisplayStrategy"
2047f (Text
2048va (VaSet
2049)
2050xt "0,-375,0,-375"
2051blo "0,-375"
2052tm "WireNameMgr"
2053)
2054)
2055)
2056defaultPortIoBuffer (PortIoBuffer
2057shape (CompositeShape
2058va (VaSet
2059vasetType 1
2060fg "65535,65535,65535"
2061lineColor "0,0,32768"
2062)
2063optionalChildren [
2064(Hexagon
2065sl 0
2066xt "500,-375,2000,375"
2067)
2068(Line
2069sl 0
2070xt "0,0,500,0"
2071pts [
2072"0,0"
2073"500,0"
2074]
2075)
2076]
2077)
2078stc 0
2079sf 1
2080tg (WTG
2081ps "PortIoTextPlaceStrategy"
2082stg "STSignalDisplayStrategy"
2083f (Text
2084va (VaSet
2085)
2086xt "0,-375,0,-375"
2087blo "0,-375"
2088tm "WireNameMgr"
2089)
2090)
2091)
2092defaultSignal (Wire
2093shape (OrthoPolyLine
2094va (VaSet
2095vasetType 3
2096)
2097pts [
2098"0,0"
2099"0,0"
2100]
2101)
2102ss 0
2103es 0
2104sat 32
2105eat 32
2106st 0
2107sf 1
2108si 0
2109tg (WTG
2110ps "ConnStartEndStrategy"
2111stg "STSignalDisplayStrategy"
2112f (Text
2113va (VaSet
2114)
2115xt "0,0,1900,1000"
2116st "sig0"
2117blo "0,800"
2118tm "WireNameMgr"
2119)
2120)
2121)
2122defaultBus (Wire
2123shape (OrthoPolyLine
2124va (VaSet
2125vasetType 3
2126lineWidth 2
2127)
2128pts [
2129"0,0"
2130"0,0"
2131]
2132)
2133ss 0
2134es 0
2135sat 32
2136eat 32
2137sty 1
2138st 0
2139sf 1
2140si 0
2141tg (WTG
2142ps "ConnStartEndStrategy"
2143stg "STSignalDisplayStrategy"
2144f (Text
2145va (VaSet
2146)
2147xt "0,0,2400,1000"
2148st "dbus0"
2149blo "0,800"
2150tm "WireNameMgr"
2151)
2152)
2153)
2154defaultBundle (Bundle
2155shape (OrthoPolyLine
2156va (VaSet
2157vasetType 3
2158lineColor "32768,0,0"
2159lineWidth 2
2160)
2161pts [
2162"0,0"
2163"0,0"
2164]
2165)
2166ss 0
2167es 0
2168sat 32
2169eat 32
2170textGroup (BiTextGroup
2171ps "ConnStartEndStrategy"
2172stg "VerticalLayoutStrategy"
2173first (Text
2174va (VaSet
2175)
2176xt "0,0,3000,1000"
2177st "bundle0"
2178blo "0,800"
2179tm "BundleNameMgr"
2180)
2181second (MLText
2182va (VaSet
2183)
2184xt "0,1000,1000,2000"
2185st "()"
2186tm "BundleContentsMgr"
2187)
2188)
2189bundleNet &0
2190)
2191defaultPortMapFrame (PortMapFrame
2192ps "PortMapFrameStrategy"
2193shape (RectFrame
2194va (VaSet
2195vasetType 1
2196fg "65535,65535,65535"
2197lineColor "0,0,32768"
2198lineWidth 2
2199)
2200xt "0,0,10000,12000"
2201)
2202portMapText (BiTextGroup
2203ps "BottomRightOffsetStrategy"
2204stg "VerticalLayoutStrategy"
2205first (MLText
2206va (VaSet
2207)
2208)
2209second (MLText
2210va (VaSet
2211)
2212tm "PortMapTextMgr"
2213)
2214)
2215)
2216defaultGenFrame (Frame
2217shape (RectFrame
2218va (VaSet
2219vasetType 1
2220fg "65535,65535,65535"
2221lineColor "26368,26368,26368"
2222lineStyle 2
2223lineWidth 2
2224)
2225xt "0,0,20000,20000"
2226)
2227title (TextAssociate
2228ps "TopLeftStrategy"
2229text (MLText
2230va (VaSet
2231)
2232xt "0,-1100,12600,-100"
2233st "g0: FOR i IN 0 TO n GENERATE"
2234tm "FrameTitleTextMgr"
2235)
2236)
2237seqNum (FrameSequenceNumber
2238ps "TopLeftStrategy"
2239shape (Rectangle
2240va (VaSet
2241vasetType 1
2242fg "65535,65535,65535"
2243)
2244xt "50,50,1250,1450"
2245)
2246num (Text
2247va (VaSet
2248)
2249xt "250,250,1050,1250"
2250st "1"
2251blo "250,1050"
2252tm "FrameSeqNumMgr"
2253)
2254)
2255decls (MlTextGroup
2256ps "BottomRightOffsetStrategy"
2257stg "VerticalLayoutStrategy"
2258textVec [
2259*65 (Text
2260va (VaSet
2261font "Arial,8,1"
2262)
2263xt "14100,20000,22000,21000"
2264st "Frame Declarations"
2265blo "14100,20800"
2266)
2267*66 (MLText
2268va (VaSet
2269)
2270xt "14100,21000,14100,21000"
2271tm "BdFrameDeclTextMgr"
2272)
2273]
2274)
2275)
2276defaultBlockFrame (Frame
2277shape (RectFrame
2278va (VaSet
2279vasetType 1
2280fg "65535,65535,65535"
2281lineColor "26368,26368,26368"
2282lineStyle 1
2283lineWidth 2
2284)
2285xt "0,0,20000,20000"
2286)
2287title (TextAssociate
2288ps "TopLeftStrategy"
2289text (MLText
2290va (VaSet
2291)
2292xt "0,-1100,7400,-100"
2293st "b0: BLOCK (guard)"
2294tm "FrameTitleTextMgr"
2295)
2296)
2297seqNum (FrameSequenceNumber
2298ps "TopLeftStrategy"
2299shape (Rectangle
2300va (VaSet
2301vasetType 1
2302fg "65535,65535,65535"
2303)
2304xt "50,50,1250,1450"
2305)
2306num (Text
2307va (VaSet
2308)
2309xt "250,250,1050,1250"
2310st "1"
2311blo "250,1050"
2312tm "FrameSeqNumMgr"
2313)
2314)
2315decls (MlTextGroup
2316ps "BottomRightOffsetStrategy"
2317stg "VerticalLayoutStrategy"
2318textVec [
2319*67 (Text
2320va (VaSet
2321font "Arial,8,1"
2322)
2323xt "14100,20000,22000,21000"
2324st "Frame Declarations"
2325blo "14100,20800"
2326)
2327*68 (MLText
2328va (VaSet
2329)
2330xt "14100,21000,14100,21000"
2331tm "BdFrameDeclTextMgr"
2332)
2333]
2334)
2335style 3
2336)
2337defaultSaCptPort (CptPort
2338ps "OnEdgeStrategy"
2339shape (Triangle
2340ro 90
2341va (VaSet
2342vasetType 1
2343fg "0,65535,0"
2344)
2345xt "0,0,750,750"
2346)
2347tg (CPTG
2348ps "CptPortTextPlaceStrategy"
2349stg "VerticalLayoutStrategy"
2350f (Text
2351va (VaSet
2352)
2353xt "0,750,1800,1750"
2354st "Port"
2355blo "0,1550"
2356)
2357)
2358thePort (LogicalPort
2359decl (Decl
2360n "Port"
2361t ""
2362o 0
2363)
2364)
2365)
2366defaultSaCptPortBuffer (CptPort
2367ps "OnEdgeStrategy"
2368shape (Diamond
2369va (VaSet
2370vasetType 1
2371fg "65535,65535,65535"
2372)
2373xt "0,0,750,750"
2374)
2375tg (CPTG
2376ps "CptPortTextPlaceStrategy"
2377stg "VerticalLayoutStrategy"
2378f (Text
2379va (VaSet
2380)
2381xt "0,750,1800,1750"
2382st "Port"
2383blo "0,1550"
2384)
2385)
2386thePort (LogicalPort
2387m 3
2388decl (Decl
2389n "Port"
2390t ""
2391o 0
2392)
2393)
2394)
2395defaultDeclText (MLText
2396va (VaSet
2397font "Courier New,8,0"
2398)
2399)
2400archDeclarativeBlock (BdArchDeclBlock
2401uid 1,0
2402stg "BdArchDeclBlockLS"
2403declLabel (Text
2404uid 2,0
2405va (VaSet
2406font "Arial,8,1"
2407)
2408xt "20000,0,25400,1000"
2409st "Declarations"
2410blo "20000,800"
2411)
2412portLabel (Text
2413uid 3,0
2414va (VaSet
2415font "Arial,8,1"
2416)
2417xt "20000,1000,22700,2000"
2418st "Ports:"
2419blo "20000,1800"
2420)
2421preUserLabel (Text
2422uid 4,0
2423va (VaSet
2424isHidden 1
2425font "Arial,8,1"
2426)
2427xt "20000,0,23800,1000"
2428st "Pre User:"
2429blo "20000,800"
2430)
2431preUserText (MLText
2432uid 5,0
2433va (VaSet
2434isHidden 1
2435font "Courier New,8,0"
2436)
2437xt "20000,0,20000,0"
2438tm "BdDeclarativeTextMgr"
2439)
2440diagSignalLabel (Text
2441uid 6,0
2442va (VaSet
2443font "Arial,8,1"
2444)
2445xt "20000,2000,27100,3000"
2446st "Diagram Signals:"
2447blo "20000,2800"
2448)
2449postUserLabel (Text
2450uid 7,0
2451va (VaSet
2452isHidden 1
2453font "Arial,8,1"
2454)
2455xt "20000,0,24700,1000"
2456st "Post User:"
2457blo "20000,800"
2458)
2459postUserText (MLText
2460uid 8,0
2461va (VaSet
2462isHidden 1
2463font "Courier New,8,0"
2464)
2465xt "20000,0,20000,0"
2466tm "BdDeclarativeTextMgr"
2467)
2468)
2469commonDM (CommonDM
2470ldm (LogicalDM
2471suid 4,0
2472usingSuid 1
2473emptyRow *69 (LEmptyRow
2474)
2475uid 54,0
2476optionalChildren [
2477*70 (RefLabelRowHdr
2478)
2479*71 (TitleRowHdr
2480)
2481*72 (FilterRowHdr
2482)
2483*73 (RefLabelColHdr
2484tm "RefLabelColHdrMgr"
2485)
2486*74 (RowExpandColHdr
2487tm "RowExpandColHdrMgr"
2488)
2489*75 (GroupColHdr
2490tm "GroupColHdrMgr"
2491)
2492*76 (NameColHdr
2493tm "BlockDiagramNameColHdrMgr"
2494)
2495*77 (ModeColHdr
2496tm "BlockDiagramModeColHdrMgr"
2497)
2498*78 (TypeColHdr
2499tm "BlockDiagramTypeColHdrMgr"
2500)
2501*79 (BoundsColHdr
2502tm "BlockDiagramBoundsColHdrMgr"
2503)
2504*80 (InitColHdr
2505tm "BlockDiagramInitColHdrMgr"
2506)
2507*81 (EolColHdr
2508tm "BlockDiagramEolColHdrMgr"
2509)
2510*82 (LeafLogPort
2511port (LogicalPort
2512m 4
2513decl (Decl
2514n "clk"
2515t "std_logic"
2516preAdd 0
2517posAdd 0
2518o 1
2519suid 1,0
2520i "'0'"
2521)
2522)
2523uid 215,0
2524)
2525*83 (LeafLogPort
2526port (LogicalPort
2527m 4
2528decl (Decl
2529n "data"
2530t "std_logic_vector"
2531b "(11 DOWNTO 0)"
2532preAdd 0
2533posAdd 0
2534o 2
2535suid 2,0
2536)
2537)
2538uid 217,0
2539)
2540*84 (LeafLogPort
2541port (LogicalPort
2542m 4
2543decl (Decl
2544n "otr"
2545t "std_logic"
2546preAdd 0
2547posAdd 0
2548o 3
2549suid 3,0
2550)
2551)
2552uid 219,0
2553)
2554*85 (LeafLogPort
2555port (LogicalPort
2556m 4
2557decl (Decl
2558n "oeb"
2559t "std_logic"
2560preAdd 0
2561posAdd 0
2562o 4
2563suid 4,0
2564)
2565)
2566uid 221,0
2567)
2568]
2569)
2570pdm (PhysicalDM
2571displayShortBounds 1
2572editShortBounds 1
2573uid 67,0
2574optionalChildren [
2575*86 (Sheet
2576sheetRow (SheetRow
2577headerVa (MVa
2578cellColor "49152,49152,49152"
2579fontColor "0,0,0"
2580font "Tahoma,10,0"
2581)
2582cellVa (MVa
2583cellColor "65535,65535,65535"
2584fontColor "0,0,0"
2585font "Tahoma,10,0"
2586)
2587groupVa (MVa
2588cellColor "39936,56832,65280"
2589fontColor "0,0,0"
2590font "Tahoma,10,0"
2591)
2592emptyMRCItem *87 (MRCItem
2593litem &69
2594pos 4
2595dimension 20
2596)
2597uid 69,0
2598optionalChildren [
2599*88 (MRCItem
2600litem &70
2601pos 0
2602dimension 20
2603uid 70,0
2604)
2605*89 (MRCItem
2606litem &71
2607pos 1
2608dimension 23
2609uid 71,0
2610)
2611*90 (MRCItem
2612litem &72
2613pos 2
2614hidden 1
2615dimension 20
2616uid 72,0
2617)
2618*91 (MRCItem
2619litem &82
2620pos 0
2621dimension 20
2622uid 216,0
2623)
2624*92 (MRCItem
2625litem &83
2626pos 1
2627dimension 20
2628uid 218,0
2629)
2630*93 (MRCItem
2631litem &84
2632pos 2
2633dimension 20
2634uid 220,0
2635)
2636*94 (MRCItem
2637litem &85
2638pos 3
2639dimension 20
2640uid 222,0
2641)
2642]
2643)
2644sheetCol (SheetCol
2645propVa (MVa
2646cellColor "0,49152,49152"
2647fontColor "0,0,0"
2648font "Tahoma,10,0"
2649textAngle 90
2650)
2651uid 73,0
2652optionalChildren [
2653*95 (MRCItem
2654litem &73
2655pos 0
2656dimension 20
2657uid 74,0
2658)
2659*96 (MRCItem
2660litem &75
2661pos 1
2662dimension 50
2663uid 75,0
2664)
2665*97 (MRCItem
2666litem &76
2667pos 2
2668dimension 100
2669uid 76,0
2670)
2671*98 (MRCItem
2672litem &77
2673pos 3
2674dimension 50
2675uid 77,0
2676)
2677*99 (MRCItem
2678litem &78
2679pos 4
2680dimension 100
2681uid 78,0
2682)
2683*100 (MRCItem
2684litem &79
2685pos 5
2686dimension 100
2687uid 79,0
2688)
2689*101 (MRCItem
2690litem &80
2691pos 6
2692dimension 50
2693uid 80,0
2694)
2695*102 (MRCItem
2696litem &81
2697pos 7
2698dimension 80
2699uid 81,0
2700)
2701]
2702)
2703fixedCol 4
2704fixedRow 2
2705name "Ports"
2706uid 68,0
2707vaOverrides [
2708]
2709)
2710]
2711)
2712uid 53,0
2713)
2714genericsCommonDM (CommonDM
2715ldm (LogicalDM
2716emptyRow *103 (LEmptyRow
2717)
2718uid 83,0
2719optionalChildren [
2720*104 (RefLabelRowHdr
2721)
2722*105 (TitleRowHdr
2723)
2724*106 (FilterRowHdr
2725)
2726*107 (RefLabelColHdr
2727tm "RefLabelColHdrMgr"
2728)
2729*108 (RowExpandColHdr
2730tm "RowExpandColHdrMgr"
2731)
2732*109 (GroupColHdr
2733tm "GroupColHdrMgr"
2734)
2735*110 (NameColHdr
2736tm "GenericNameColHdrMgr"
2737)
2738*111 (TypeColHdr
2739tm "GenericTypeColHdrMgr"
2740)
2741*112 (InitColHdr
2742tm "GenericValueColHdrMgr"
2743)
2744*113 (PragmaColHdr
2745tm "GenericPragmaColHdrMgr"
2746)
2747*114 (EolColHdr
2748tm "GenericEolColHdrMgr"
2749)
2750]
2751)
2752pdm (PhysicalDM
2753displayShortBounds 1
2754editShortBounds 1
2755uid 95,0
2756optionalChildren [
2757*115 (Sheet
2758sheetRow (SheetRow
2759headerVa (MVa
2760cellColor "49152,49152,49152"
2761fontColor "0,0,0"
2762font "Tahoma,10,0"
2763)
2764cellVa (MVa
2765cellColor "65535,65535,65535"
2766fontColor "0,0,0"
2767font "Tahoma,10,0"
2768)
2769groupVa (MVa
2770cellColor "39936,56832,65280"
2771fontColor "0,0,0"
2772font "Tahoma,10,0"
2773)
2774emptyMRCItem *116 (MRCItem
2775litem &103
2776pos 0
2777dimension 20
2778)
2779uid 97,0
2780optionalChildren [
2781*117 (MRCItem
2782litem &104
2783pos 0
2784dimension 20
2785uid 98,0
2786)
2787*118 (MRCItem
2788litem &105
2789pos 1
2790dimension 23
2791uid 99,0
2792)
2793*119 (MRCItem
2794litem &106
2795pos 2
2796hidden 1
2797dimension 20
2798uid 100,0
2799)
2800]
2801)
2802sheetCol (SheetCol
2803propVa (MVa
2804cellColor "0,49152,49152"
2805fontColor "0,0,0"
2806font "Tahoma,10,0"
2807textAngle 90
2808)
2809uid 101,0
2810optionalChildren [
2811*120 (MRCItem
2812litem &107
2813pos 0
2814dimension 20
2815uid 102,0
2816)
2817*121 (MRCItem
2818litem &109
2819pos 1
2820dimension 50
2821uid 103,0
2822)
2823*122 (MRCItem
2824litem &110
2825pos 2
2826dimension 100
2827uid 104,0
2828)
2829*123 (MRCItem
2830litem &111
2831pos 3
2832dimension 100
2833uid 105,0
2834)
2835*124 (MRCItem
2836litem &112
2837pos 4
2838dimension 50
2839uid 106,0
2840)
2841*125 (MRCItem
2842litem &113
2843pos 5
2844dimension 50
2845uid 107,0
2846)
2847*126 (MRCItem
2848litem &114
2849pos 6
2850dimension 80
2851uid 108,0
2852)
2853]
2854)
2855fixedCol 3
2856fixedRow 2
2857name "Ports"
2858uid 96,0
2859vaOverrides [
2860]
2861)
2862]
2863)
2864uid 82,0
2865type 1
2866)
2867activeModelName "BlockDiag"
2868)
Note: See TracBrowser for help on using the repository browser.