1 | DocumentHdrVersion "1.1"
|
---|
2 | Header (DocumentHdr
|
---|
3 | version 2
|
---|
4 | dmPackageRefs [
|
---|
5 | (DmPackageRef
|
---|
6 | library "ieee"
|
---|
7 | unitName "std_logic_1164"
|
---|
8 | )
|
---|
9 | (DmPackageRef
|
---|
10 | library "ieee"
|
---|
11 | unitName "std_logic_arith"
|
---|
12 | )
|
---|
13 | (DmPackageRef
|
---|
14 | library "ieee"
|
---|
15 | unitName "std_logic_unsigned"
|
---|
16 | )
|
---|
17 | (DmPackageRef
|
---|
18 | library "ieee"
|
---|
19 | unitName "std_logic_textio"
|
---|
20 | )
|
---|
21 | (DmPackageRef
|
---|
22 | library "std"
|
---|
23 | unitName "textio"
|
---|
24 | )
|
---|
25 | ]
|
---|
26 | instances [
|
---|
27 | (Instance
|
---|
28 | name "I_adcTB_adc"
|
---|
29 | duLibraryName "FACT_FAD_TB_lib"
|
---|
30 | duName "adc_emulator"
|
---|
31 | elements [
|
---|
32 | (GiElement
|
---|
33 | name "INPUT_FILE"
|
---|
34 | type "string"
|
---|
35 | value "\"../memory_files/analog_input_ch0.txt\""
|
---|
36 | )
|
---|
37 | ]
|
---|
38 | mwi 0
|
---|
39 | uid 138,0
|
---|
40 | )
|
---|
41 | (Instance
|
---|
42 | name "I_adcTB_clock"
|
---|
43 | duLibraryName "FACT_FAD_TB_lib"
|
---|
44 | duName "clock_generator"
|
---|
45 | elements [
|
---|
46 | (GiElement
|
---|
47 | name "clock_period"
|
---|
48 | type "time"
|
---|
49 | value "20 ns"
|
---|
50 | )
|
---|
51 | (GiElement
|
---|
52 | name "reset_time"
|
---|
53 | type "time"
|
---|
54 | value "50 ns"
|
---|
55 | )
|
---|
56 | ]
|
---|
57 | mwi 0
|
---|
58 | uid 175,0
|
---|
59 | )
|
---|
60 | ]
|
---|
61 | embeddedInstances [
|
---|
62 | (EmbeddedInstance
|
---|
63 | name "eb_adcTB_oeb"
|
---|
64 | number "1"
|
---|
65 | )
|
---|
66 | ]
|
---|
67 | libraryRefs [
|
---|
68 | "ieee"
|
---|
69 | "std"
|
---|
70 | ]
|
---|
71 | )
|
---|
72 | version "29.1"
|
---|
73 | appVersion "2009.2 (Build 10)"
|
---|
74 | noEmbeddedEditors 1
|
---|
75 | model (BlockDiag
|
---|
76 | VExpander (VariableExpander
|
---|
77 | vvMap [
|
---|
78 | (vvPair
|
---|
79 | variable "HDLDir"
|
---|
80 | value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl"
|
---|
81 | )
|
---|
82 | (vvPair
|
---|
83 | variable "HDSDir"
|
---|
84 | value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
|
---|
85 | )
|
---|
86 | (vvPair
|
---|
87 | variable "SideDataDesignDir"
|
---|
88 | value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb\\struct.bd.info"
|
---|
89 | )
|
---|
90 | (vvPair
|
---|
91 | variable "SideDataUserDir"
|
---|
92 | value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb\\struct.bd.user"
|
---|
93 | )
|
---|
94 | (vvPair
|
---|
95 | variable "SourceDir"
|
---|
96 | value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
|
---|
97 | )
|
---|
98 | (vvPair
|
---|
99 | variable "appl"
|
---|
100 | value "HDL Designer"
|
---|
101 | )
|
---|
102 | (vvPair
|
---|
103 | variable "arch_name"
|
---|
104 | value "struct"
|
---|
105 | )
|
---|
106 | (vvPair
|
---|
107 | variable "config"
|
---|
108 | value "%(unit)_config"
|
---|
109 | )
|
---|
110 | (vvPair
|
---|
111 | variable "d"
|
---|
112 | value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb"
|
---|
113 | )
|
---|
114 | (vvPair
|
---|
115 | variable "d_logical"
|
---|
116 | value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb"
|
---|
117 | )
|
---|
118 | (vvPair
|
---|
119 | variable "date"
|
---|
120 | value "23.06.2010"
|
---|
121 | )
|
---|
122 | (vvPair
|
---|
123 | variable "day"
|
---|
124 | value "Mi"
|
---|
125 | )
|
---|
126 | (vvPair
|
---|
127 | variable "day_long"
|
---|
128 | value "Mittwoch"
|
---|
129 | )
|
---|
130 | (vvPair
|
---|
131 | variable "dd"
|
---|
132 | value "23"
|
---|
133 | )
|
---|
134 | (vvPair
|
---|
135 | variable "entity_name"
|
---|
136 | value "adc_emulator_tb"
|
---|
137 | )
|
---|
138 | (vvPair
|
---|
139 | variable "ext"
|
---|
140 | value "<TBD>"
|
---|
141 | )
|
---|
142 | (vvPair
|
---|
143 | variable "f"
|
---|
144 | value "struct.bd"
|
---|
145 | )
|
---|
146 | (vvPair
|
---|
147 | variable "f_logical"
|
---|
148 | value "struct.bd"
|
---|
149 | )
|
---|
150 | (vvPair
|
---|
151 | variable "f_noext"
|
---|
152 | value "struct"
|
---|
153 | )
|
---|
154 | (vvPair
|
---|
155 | variable "group"
|
---|
156 | value "UNKNOWN"
|
---|
157 | )
|
---|
158 | (vvPair
|
---|
159 | variable "host"
|
---|
160 | value "EEPC8"
|
---|
161 | )
|
---|
162 | (vvPair
|
---|
163 | variable "language"
|
---|
164 | value "VHDL"
|
---|
165 | )
|
---|
166 | (vvPair
|
---|
167 | variable "library"
|
---|
168 | value "FACT_FAD_TB_lib"
|
---|
169 | )
|
---|
170 | (vvPair
|
---|
171 | variable "library_downstream_ModelSimCompiler"
|
---|
172 | value "$HDS_PROJECT_DIR\\FACT_FAD_TB_lib\\work"
|
---|
173 | )
|
---|
174 | (vvPair
|
---|
175 | variable "mm"
|
---|
176 | value "06"
|
---|
177 | )
|
---|
178 | (vvPair
|
---|
179 | variable "module_name"
|
---|
180 | value "adc_emulator_tb"
|
---|
181 | )
|
---|
182 | (vvPair
|
---|
183 | variable "month"
|
---|
184 | value "Jun"
|
---|
185 | )
|
---|
186 | (vvPair
|
---|
187 | variable "month_long"
|
---|
188 | value "Juni"
|
---|
189 | )
|
---|
190 | (vvPair
|
---|
191 | variable "p"
|
---|
192 | value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb\\struct.bd"
|
---|
193 | )
|
---|
194 | (vvPair
|
---|
195 | variable "p_logical"
|
---|
196 | value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb\\struct.bd"
|
---|
197 | )
|
---|
198 | (vvPair
|
---|
199 | variable "package_name"
|
---|
200 | value "<Undefined Variable>"
|
---|
201 | )
|
---|
202 | (vvPair
|
---|
203 | variable "project_name"
|
---|
204 | value "FACT_FAD"
|
---|
205 | )
|
---|
206 | (vvPair
|
---|
207 | variable "series"
|
---|
208 | value "HDL Designer Series"
|
---|
209 | )
|
---|
210 | (vvPair
|
---|
211 | variable "task_DesignCompilerPath"
|
---|
212 | value "<TBD>"
|
---|
213 | )
|
---|
214 | (vvPair
|
---|
215 | variable "task_LeonardoPath"
|
---|
216 | value "<TBD>"
|
---|
217 | )
|
---|
218 | (vvPair
|
---|
219 | variable "task_ModelSimPath"
|
---|
220 | value "$HDS_HOME/../Modeltech/win32"
|
---|
221 | )
|
---|
222 | (vvPair
|
---|
223 | variable "task_NC-SimPath"
|
---|
224 | value "<TBD>"
|
---|
225 | )
|
---|
226 | (vvPair
|
---|
227 | variable "task_PrecisionRTLPath"
|
---|
228 | value "$HDS_HOME/../Precision/Mgc_home/bin"
|
---|
229 | )
|
---|
230 | (vvPair
|
---|
231 | variable "task_QuestaSimPath"
|
---|
232 | value "<TBD>"
|
---|
233 | )
|
---|
234 | (vvPair
|
---|
235 | variable "task_VCSPath"
|
---|
236 | value "<TBD>"
|
---|
237 | )
|
---|
238 | (vvPair
|
---|
239 | variable "this_ext"
|
---|
240 | value "bd"
|
---|
241 | )
|
---|
242 | (vvPair
|
---|
243 | variable "this_file"
|
---|
244 | value "struct"
|
---|
245 | )
|
---|
246 | (vvPair
|
---|
247 | variable "this_file_logical"
|
---|
248 | value "struct"
|
---|
249 | )
|
---|
250 | (vvPair
|
---|
251 | variable "time"
|
---|
252 | value "12:03:45"
|
---|
253 | )
|
---|
254 | (vvPair
|
---|
255 | variable "unit"
|
---|
256 | value "adc_emulator_tb"
|
---|
257 | )
|
---|
258 | (vvPair
|
---|
259 | variable "user"
|
---|
260 | value "Benjamin Krumm"
|
---|
261 | )
|
---|
262 | (vvPair
|
---|
263 | variable "version"
|
---|
264 | value "2009.2 (Build 10)"
|
---|
265 | )
|
---|
266 | (vvPair
|
---|
267 | variable "view"
|
---|
268 | value "struct"
|
---|
269 | )
|
---|
270 | (vvPair
|
---|
271 | variable "year"
|
---|
272 | value "2010"
|
---|
273 | )
|
---|
274 | (vvPair
|
---|
275 | variable "yy"
|
---|
276 | value "10"
|
---|
277 | )
|
---|
278 | ]
|
---|
279 | )
|
---|
280 | LanguageMgr "VhdlLangMgr"
|
---|
281 | uid 52,0
|
---|
282 | optionalChildren [
|
---|
283 | *1 (Grouping
|
---|
284 | uid 9,0
|
---|
285 | optionalChildren [
|
---|
286 | *2 (CommentText
|
---|
287 | uid 11,0
|
---|
288 | shape (Rectangle
|
---|
289 | uid 12,0
|
---|
290 | sl 0
|
---|
291 | va (VaSet
|
---|
292 | vasetType 1
|
---|
293 | fg "65280,65280,46080"
|
---|
294 | )
|
---|
295 | xt "36000,48000,53000,49000"
|
---|
296 | )
|
---|
297 | oxt "18000,70000,35000,71000"
|
---|
298 | text (MLText
|
---|
299 | uid 13,0
|
---|
300 | va (VaSet
|
---|
301 | fg "0,0,32768"
|
---|
302 | bg "0,0,32768"
|
---|
303 | )
|
---|
304 | xt "36200,48000,49200,49000"
|
---|
305 | st "
|
---|
306 | by %user on %dd %month %year
|
---|
307 | "
|
---|
308 | tm "CommentText"
|
---|
309 | wrapOption 3
|
---|
310 | visibleHeight 1000
|
---|
311 | visibleWidth 17000
|
---|
312 | )
|
---|
313 | position 1
|
---|
314 | ignorePrefs 1
|
---|
315 | titleBlock 1
|
---|
316 | )
|
---|
317 | *3 (CommentText
|
---|
318 | uid 14,0
|
---|
319 | shape (Rectangle
|
---|
320 | uid 15,0
|
---|
321 | sl 0
|
---|
322 | va (VaSet
|
---|
323 | vasetType 1
|
---|
324 | fg "65280,65280,46080"
|
---|
325 | )
|
---|
326 | xt "53000,44000,57000,45000"
|
---|
327 | )
|
---|
328 | oxt "35000,66000,39000,67000"
|
---|
329 | text (MLText
|
---|
330 | uid 16,0
|
---|
331 | va (VaSet
|
---|
332 | fg "0,0,32768"
|
---|
333 | bg "0,0,32768"
|
---|
334 | )
|
---|
335 | xt "53200,44000,56200,45000"
|
---|
336 | st "
|
---|
337 | Project:
|
---|
338 | "
|
---|
339 | tm "CommentText"
|
---|
340 | wrapOption 3
|
---|
341 | visibleHeight 1000
|
---|
342 | visibleWidth 4000
|
---|
343 | )
|
---|
344 | position 1
|
---|
345 | ignorePrefs 1
|
---|
346 | titleBlock 1
|
---|
347 | )
|
---|
348 | *4 (CommentText
|
---|
349 | uid 17,0
|
---|
350 | shape (Rectangle
|
---|
351 | uid 18,0
|
---|
352 | sl 0
|
---|
353 | va (VaSet
|
---|
354 | vasetType 1
|
---|
355 | fg "65280,65280,46080"
|
---|
356 | )
|
---|
357 | xt "36000,46000,53000,47000"
|
---|
358 | )
|
---|
359 | oxt "18000,68000,35000,69000"
|
---|
360 | text (MLText
|
---|
361 | uid 19,0
|
---|
362 | va (VaSet
|
---|
363 | fg "0,0,32768"
|
---|
364 | bg "0,0,32768"
|
---|
365 | )
|
---|
366 | xt "36200,46000,46000,47000"
|
---|
367 | st "
|
---|
368 | ADC Emulator Testbench
|
---|
369 | "
|
---|
370 | tm "CommentText"
|
---|
371 | wrapOption 3
|
---|
372 | visibleHeight 1000
|
---|
373 | visibleWidth 17000
|
---|
374 | )
|
---|
375 | position 1
|
---|
376 | ignorePrefs 1
|
---|
377 | titleBlock 1
|
---|
378 | )
|
---|
379 | *5 (CommentText
|
---|
380 | uid 20,0
|
---|
381 | shape (Rectangle
|
---|
382 | uid 21,0
|
---|
383 | sl 0
|
---|
384 | va (VaSet
|
---|
385 | vasetType 1
|
---|
386 | fg "65280,65280,46080"
|
---|
387 | )
|
---|
388 | xt "32000,46000,36000,47000"
|
---|
389 | )
|
---|
390 | oxt "14000,68000,18000,69000"
|
---|
391 | text (MLText
|
---|
392 | uid 22,0
|
---|
393 | va (VaSet
|
---|
394 | fg "0,0,32768"
|
---|
395 | bg "0,0,32768"
|
---|
396 | )
|
---|
397 | xt "32200,46000,34300,47000"
|
---|
398 | st "
|
---|
399 | Title:
|
---|
400 | "
|
---|
401 | tm "CommentText"
|
---|
402 | wrapOption 3
|
---|
403 | visibleHeight 1000
|
---|
404 | visibleWidth 4000
|
---|
405 | )
|
---|
406 | position 1
|
---|
407 | ignorePrefs 1
|
---|
408 | titleBlock 1
|
---|
409 | )
|
---|
410 | *6 (CommentText
|
---|
411 | uid 23,0
|
---|
412 | shape (Rectangle
|
---|
413 | uid 24,0
|
---|
414 | sl 0
|
---|
415 | va (VaSet
|
---|
416 | vasetType 1
|
---|
417 | fg "65280,65280,46080"
|
---|
418 | )
|
---|
419 | xt "53000,45000,73000,49000"
|
---|
420 | )
|
---|
421 | oxt "35000,67000,55000,71000"
|
---|
422 | text (MLText
|
---|
423 | uid 25,0
|
---|
424 | va (VaSet
|
---|
425 | fg "0,0,32768"
|
---|
426 | bg "0,0,32768"
|
---|
427 | )
|
---|
428 | xt "53200,45200,62400,46200"
|
---|
429 | st "
|
---|
430 | <enter comments here>
|
---|
431 | "
|
---|
432 | tm "CommentText"
|
---|
433 | wrapOption 3
|
---|
434 | visibleHeight 4000
|
---|
435 | visibleWidth 20000
|
---|
436 | )
|
---|
437 | ignorePrefs 1
|
---|
438 | titleBlock 1
|
---|
439 | )
|
---|
440 | *7 (CommentText
|
---|
441 | uid 26,0
|
---|
442 | shape (Rectangle
|
---|
443 | uid 27,0
|
---|
444 | sl 0
|
---|
445 | va (VaSet
|
---|
446 | vasetType 1
|
---|
447 | fg "65280,65280,46080"
|
---|
448 | )
|
---|
449 | xt "57000,44000,73000,45000"
|
---|
450 | )
|
---|
451 | oxt "39000,66000,55000,67000"
|
---|
452 | text (MLText
|
---|
453 | uid 28,0
|
---|
454 | va (VaSet
|
---|
455 | fg "0,0,32768"
|
---|
456 | bg "0,0,32768"
|
---|
457 | )
|
---|
458 | xt "57200,44000,61700,45000"
|
---|
459 | st "
|
---|
460 | %project_name
|
---|
461 | "
|
---|
462 | tm "CommentText"
|
---|
463 | wrapOption 3
|
---|
464 | visibleHeight 1000
|
---|
465 | visibleWidth 16000
|
---|
466 | )
|
---|
467 | position 1
|
---|
468 | ignorePrefs 1
|
---|
469 | titleBlock 1
|
---|
470 | )
|
---|
471 | *8 (CommentText
|
---|
472 | uid 29,0
|
---|
473 | shape (Rectangle
|
---|
474 | uid 30,0
|
---|
475 | sl 0
|
---|
476 | va (VaSet
|
---|
477 | vasetType 1
|
---|
478 | fg "65280,65280,46080"
|
---|
479 | )
|
---|
480 | xt "32000,44000,53000,46000"
|
---|
481 | )
|
---|
482 | oxt "14000,66000,35000,68000"
|
---|
483 | text (MLText
|
---|
484 | uid 31,0
|
---|
485 | va (VaSet
|
---|
486 | fg "32768,0,0"
|
---|
487 | )
|
---|
488 | xt "39700,44000,45300,46000"
|
---|
489 | st "
|
---|
490 | TU Dortmund
|
---|
491 | Physik / EE
|
---|
492 | "
|
---|
493 | ju 0
|
---|
494 | tm "CommentText"
|
---|
495 | wrapOption 3
|
---|
496 | visibleHeight 2000
|
---|
497 | visibleWidth 21000
|
---|
498 | )
|
---|
499 | position 1
|
---|
500 | ignorePrefs 1
|
---|
501 | titleBlock 1
|
---|
502 | )
|
---|
503 | *9 (CommentText
|
---|
504 | uid 32,0
|
---|
505 | shape (Rectangle
|
---|
506 | uid 33,0
|
---|
507 | sl 0
|
---|
508 | va (VaSet
|
---|
509 | vasetType 1
|
---|
510 | fg "65280,65280,46080"
|
---|
511 | )
|
---|
512 | xt "32000,47000,36000,48000"
|
---|
513 | )
|
---|
514 | oxt "14000,69000,18000,70000"
|
---|
515 | text (MLText
|
---|
516 | uid 34,0
|
---|
517 | va (VaSet
|
---|
518 | fg "0,0,32768"
|
---|
519 | bg "0,0,32768"
|
---|
520 | )
|
---|
521 | xt "32200,47000,34300,48000"
|
---|
522 | st "
|
---|
523 | Path:
|
---|
524 | "
|
---|
525 | tm "CommentText"
|
---|
526 | wrapOption 3
|
---|
527 | visibleHeight 1000
|
---|
528 | visibleWidth 4000
|
---|
529 | )
|
---|
530 | position 1
|
---|
531 | ignorePrefs 1
|
---|
532 | titleBlock 1
|
---|
533 | )
|
---|
534 | *10 (CommentText
|
---|
535 | uid 35,0
|
---|
536 | shape (Rectangle
|
---|
537 | uid 36,0
|
---|
538 | sl 0
|
---|
539 | va (VaSet
|
---|
540 | vasetType 1
|
---|
541 | fg "65280,65280,46080"
|
---|
542 | )
|
---|
543 | xt "32000,48000,36000,49000"
|
---|
544 | )
|
---|
545 | oxt "14000,70000,18000,71000"
|
---|
546 | text (MLText
|
---|
547 | uid 37,0
|
---|
548 | va (VaSet
|
---|
549 | fg "0,0,32768"
|
---|
550 | bg "0,0,32768"
|
---|
551 | )
|
---|
552 | xt "32200,48000,34900,49000"
|
---|
553 | st "
|
---|
554 | Edited:
|
---|
555 | "
|
---|
556 | tm "CommentText"
|
---|
557 | wrapOption 3
|
---|
558 | visibleHeight 1000
|
---|
559 | visibleWidth 4000
|
---|
560 | )
|
---|
561 | position 1
|
---|
562 | ignorePrefs 1
|
---|
563 | titleBlock 1
|
---|
564 | )
|
---|
565 | *11 (CommentText
|
---|
566 | uid 38,0
|
---|
567 | shape (Rectangle
|
---|
568 | uid 39,0
|
---|
569 | sl 0
|
---|
570 | va (VaSet
|
---|
571 | vasetType 1
|
---|
572 | fg "65280,65280,46080"
|
---|
573 | )
|
---|
574 | xt "36000,47000,53000,48000"
|
---|
575 | )
|
---|
576 | oxt "18000,69000,35000,70000"
|
---|
577 | text (MLText
|
---|
578 | uid 40,0
|
---|
579 | va (VaSet
|
---|
580 | fg "0,0,32768"
|
---|
581 | bg "0,0,32768"
|
---|
582 | )
|
---|
583 | xt "36200,47000,51900,48000"
|
---|
584 | st "
|
---|
585 | %library/%unit/%view
|
---|
586 | "
|
---|
587 | tm "CommentText"
|
---|
588 | wrapOption 3
|
---|
589 | visibleHeight 1000
|
---|
590 | visibleWidth 17000
|
---|
591 | )
|
---|
592 | position 1
|
---|
593 | ignorePrefs 1
|
---|
594 | titleBlock 1
|
---|
595 | )
|
---|
596 | ]
|
---|
597 | shape (GroupingShape
|
---|
598 | uid 10,0
|
---|
599 | va (VaSet
|
---|
600 | vasetType 1
|
---|
601 | fg "65535,65535,65535"
|
---|
602 | lineStyle 2
|
---|
603 | lineWidth 2
|
---|
604 | )
|
---|
605 | xt "32000,44000,73000,49000"
|
---|
606 | )
|
---|
607 | oxt "14000,66000,55000,71000"
|
---|
608 | )
|
---|
609 | *12 (SaComponent
|
---|
610 | uid 138,0
|
---|
611 | optionalChildren [
|
---|
612 | *13 (CptPort
|
---|
613 | uid 148,0
|
---|
614 | ps "OnEdgeStrategy"
|
---|
615 | shape (Triangle
|
---|
616 | uid 149,0
|
---|
617 | ro 90
|
---|
618 | va (VaSet
|
---|
619 | vasetType 1
|
---|
620 | fg "0,65535,0"
|
---|
621 | )
|
---|
622 | xt "31250,20625,32000,21375"
|
---|
623 | )
|
---|
624 | tg (CPTG
|
---|
625 | uid 150,0
|
---|
626 | ps "CptPortTextPlaceStrategy"
|
---|
627 | stg "VerticalLayoutStrategy"
|
---|
628 | f (Text
|
---|
629 | uid 151,0
|
---|
630 | va (VaSet
|
---|
631 | )
|
---|
632 | xt "33000,20500,34300,21500"
|
---|
633 | st "clk"
|
---|
634 | blo "33000,21300"
|
---|
635 | )
|
---|
636 | )
|
---|
637 | thePort (LogicalPort
|
---|
638 | decl (Decl
|
---|
639 | n "clk"
|
---|
640 | t "STD_LOGIC"
|
---|
641 | preAdd 0
|
---|
642 | posAdd 0
|
---|
643 | o 1
|
---|
644 | )
|
---|
645 | )
|
---|
646 | )
|
---|
647 | *14 (CptPort
|
---|
648 | uid 152,0
|
---|
649 | ps "OnEdgeStrategy"
|
---|
650 | shape (Triangle
|
---|
651 | uid 153,0
|
---|
652 | ro 90
|
---|
653 | va (VaSet
|
---|
654 | vasetType 1
|
---|
655 | fg "0,65535,0"
|
---|
656 | )
|
---|
657 | xt "42000,22625,42750,23375"
|
---|
658 | )
|
---|
659 | tg (CPTG
|
---|
660 | uid 154,0
|
---|
661 | ps "CptPortTextPlaceStrategy"
|
---|
662 | stg "RightVerticalLayoutStrategy"
|
---|
663 | f (Text
|
---|
664 | uid 155,0
|
---|
665 | va (VaSet
|
---|
666 | )
|
---|
667 | xt "36200,22500,41000,23500"
|
---|
668 | st "data : (11:0)"
|
---|
669 | ju 2
|
---|
670 | blo "41000,23300"
|
---|
671 | )
|
---|
672 | )
|
---|
673 | thePort (LogicalPort
|
---|
674 | m 1
|
---|
675 | decl (Decl
|
---|
676 | n "data"
|
---|
677 | t "STD_LOGIC_VECTOR"
|
---|
678 | b "(11 DOWNTO 0)"
|
---|
679 | preAdd 0
|
---|
680 | posAdd 0
|
---|
681 | o 2
|
---|
682 | )
|
---|
683 | )
|
---|
684 | )
|
---|
685 | *15 (CptPort
|
---|
686 | uid 156,0
|
---|
687 | ps "OnEdgeStrategy"
|
---|
688 | shape (Triangle
|
---|
689 | uid 157,0
|
---|
690 | ro 90
|
---|
691 | va (VaSet
|
---|
692 | vasetType 1
|
---|
693 | fg "0,65535,0"
|
---|
694 | )
|
---|
695 | xt "42000,20625,42750,21375"
|
---|
696 | )
|
---|
697 | tg (CPTG
|
---|
698 | uid 158,0
|
---|
699 | ps "CptPortTextPlaceStrategy"
|
---|
700 | stg "RightVerticalLayoutStrategy"
|
---|
701 | f (Text
|
---|
702 | uid 159,0
|
---|
703 | va (VaSet
|
---|
704 | )
|
---|
705 | xt "39700,20500,41000,21500"
|
---|
706 | st "otr"
|
---|
707 | ju 2
|
---|
708 | blo "41000,21300"
|
---|
709 | )
|
---|
710 | )
|
---|
711 | thePort (LogicalPort
|
---|
712 | m 1
|
---|
713 | decl (Decl
|
---|
714 | n "otr"
|
---|
715 | t "STD_LOGIC"
|
---|
716 | preAdd 0
|
---|
717 | posAdd 0
|
---|
718 | o 3
|
---|
719 | )
|
---|
720 | )
|
---|
721 | )
|
---|
722 | *16 (CptPort
|
---|
723 | uid 160,0
|
---|
724 | ps "OnEdgeStrategy"
|
---|
725 | shape (Triangle
|
---|
726 | uid 161,0
|
---|
727 | ro 270
|
---|
728 | va (VaSet
|
---|
729 | vasetType 1
|
---|
730 | fg "0,65535,0"
|
---|
731 | )
|
---|
732 | xt "42000,21625,42750,22375"
|
---|
733 | )
|
---|
734 | tg (CPTG
|
---|
735 | uid 162,0
|
---|
736 | ps "CptPortTextPlaceStrategy"
|
---|
737 | stg "RightVerticalLayoutStrategy"
|
---|
738 | f (Text
|
---|
739 | uid 163,0
|
---|
740 | va (VaSet
|
---|
741 | )
|
---|
742 | xt "39400,21500,41000,22500"
|
---|
743 | st "oeb"
|
---|
744 | ju 2
|
---|
745 | blo "41000,22300"
|
---|
746 | )
|
---|
747 | )
|
---|
748 | thePort (LogicalPort
|
---|
749 | decl (Decl
|
---|
750 | n "oeb"
|
---|
751 | t "STD_LOGIC"
|
---|
752 | preAdd 0
|
---|
753 | posAdd 0
|
---|
754 | o 4
|
---|
755 | )
|
---|
756 | )
|
---|
757 | )
|
---|
758 | ]
|
---|
759 | shape (Rectangle
|
---|
760 | uid 139,0
|
---|
761 | va (VaSet
|
---|
762 | vasetType 1
|
---|
763 | fg "0,49152,49152"
|
---|
764 | lineColor "0,0,50000"
|
---|
765 | lineWidth 2
|
---|
766 | )
|
---|
767 | xt "32000,19000,42000,26000"
|
---|
768 | )
|
---|
769 | oxt "29000,7000,39000,17000"
|
---|
770 | ttg (MlTextGroup
|
---|
771 | uid 140,0
|
---|
772 | ps "CenterOffsetStrategy"
|
---|
773 | stg "VerticalLayoutStrategy"
|
---|
774 | textVec [
|
---|
775 | *17 (Text
|
---|
776 | uid 141,0
|
---|
777 | va (VaSet
|
---|
778 | font "Arial,8,1"
|
---|
779 | )
|
---|
780 | xt "32200,26000,39900,27000"
|
---|
781 | st "FACT_FAD_TB_lib"
|
---|
782 | blo "32200,26800"
|
---|
783 | tm "BdLibraryNameMgr"
|
---|
784 | )
|
---|
785 | *18 (Text
|
---|
786 | uid 142,0
|
---|
787 | va (VaSet
|
---|
788 | font "Arial,8,1"
|
---|
789 | )
|
---|
790 | xt "32200,27000,38000,28000"
|
---|
791 | st "adc_emulator"
|
---|
792 | blo "32200,27800"
|
---|
793 | tm "CptNameMgr"
|
---|
794 | )
|
---|
795 | *19 (Text
|
---|
796 | uid 143,0
|
---|
797 | va (VaSet
|
---|
798 | font "Arial,8,1"
|
---|
799 | )
|
---|
800 | xt "32200,28000,37700,29000"
|
---|
801 | st "I_adcTB_adc"
|
---|
802 | blo "32200,28800"
|
---|
803 | tm "InstanceNameMgr"
|
---|
804 | )
|
---|
805 | ]
|
---|
806 | )
|
---|
807 | ga (GenericAssociation
|
---|
808 | uid 144,0
|
---|
809 | ps "EdgeToEdgeStrategy"
|
---|
810 | matrix (Matrix
|
---|
811 | uid 145,0
|
---|
812 | text (MLText
|
---|
813 | uid 146,0
|
---|
814 | va (VaSet
|
---|
815 | font "Courier New,8,0"
|
---|
816 | )
|
---|
817 | xt "32000,18200,67500,19000"
|
---|
818 | st "INPUT_FILE = \"../memory_files/analog_input_ch0.txt\" ( string ) "
|
---|
819 | )
|
---|
820 | header ""
|
---|
821 | )
|
---|
822 | elements [
|
---|
823 | (GiElement
|
---|
824 | name "INPUT_FILE"
|
---|
825 | type "string"
|
---|
826 | value "\"../memory_files/analog_input_ch0.txt\""
|
---|
827 | )
|
---|
828 | ]
|
---|
829 | )
|
---|
830 | viewicon (ZoomableIcon
|
---|
831 | uid 147,0
|
---|
832 | sl 0
|
---|
833 | va (VaSet
|
---|
834 | vasetType 1
|
---|
835 | fg "49152,49152,49152"
|
---|
836 | )
|
---|
837 | xt "32250,24250,33750,25750"
|
---|
838 | iconName "VhdlFileViewIcon.png"
|
---|
839 | iconMaskName "VhdlFileViewIcon.msk"
|
---|
840 | ftype 10
|
---|
841 | )
|
---|
842 | ordering 1
|
---|
843 | viewiconposition 0
|
---|
844 | portVis (PortSigDisplay
|
---|
845 | sIVOD 1
|
---|
846 | )
|
---|
847 | archFileType "UNKNOWN"
|
---|
848 | )
|
---|
849 | *20 (SaComponent
|
---|
850 | uid 175,0
|
---|
851 | optionalChildren [
|
---|
852 | *21 (CptPort
|
---|
853 | uid 164,0
|
---|
854 | ps "OnEdgeStrategy"
|
---|
855 | shape (Triangle
|
---|
856 | uid 165,0
|
---|
857 | ro 90
|
---|
858 | va (VaSet
|
---|
859 | vasetType 1
|
---|
860 | fg "0,65535,0"
|
---|
861 | )
|
---|
862 | xt "22000,20625,22750,21375"
|
---|
863 | )
|
---|
864 | tg (CPTG
|
---|
865 | uid 166,0
|
---|
866 | ps "CptPortTextPlaceStrategy"
|
---|
867 | stg "RightVerticalLayoutStrategy"
|
---|
868 | f (Text
|
---|
869 | uid 167,0
|
---|
870 | va (VaSet
|
---|
871 | )
|
---|
872 | xt "19700,20500,21000,21500"
|
---|
873 | st "clk"
|
---|
874 | ju 2
|
---|
875 | blo "21000,21300"
|
---|
876 | )
|
---|
877 | )
|
---|
878 | thePort (LogicalPort
|
---|
879 | m 1
|
---|
880 | decl (Decl
|
---|
881 | n "clk"
|
---|
882 | t "std_logic"
|
---|
883 | preAdd 0
|
---|
884 | posAdd 0
|
---|
885 | o 1
|
---|
886 | suid 1,0
|
---|
887 | i "'0'"
|
---|
888 | )
|
---|
889 | )
|
---|
890 | )
|
---|
891 | *22 (CptPort
|
---|
892 | uid 168,0
|
---|
893 | ps "OnEdgeStrategy"
|
---|
894 | shape (Triangle
|
---|
895 | uid 169,0
|
---|
896 | ro 90
|
---|
897 | va (VaSet
|
---|
898 | vasetType 1
|
---|
899 | fg "0,65535,0"
|
---|
900 | )
|
---|
901 | xt "22000,21625,22750,22375"
|
---|
902 | )
|
---|
903 | tg (CPTG
|
---|
904 | uid 170,0
|
---|
905 | ps "CptPortTextPlaceStrategy"
|
---|
906 | stg "RightVerticalLayoutStrategy"
|
---|
907 | f (Text
|
---|
908 | uid 171,0
|
---|
909 | va (VaSet
|
---|
910 | )
|
---|
911 | xt "19700,21500,21000,22500"
|
---|
912 | st "rst"
|
---|
913 | ju 2
|
---|
914 | blo "21000,22300"
|
---|
915 | )
|
---|
916 | )
|
---|
917 | thePort (LogicalPort
|
---|
918 | m 1
|
---|
919 | decl (Decl
|
---|
920 | n "rst"
|
---|
921 | t "std_logic"
|
---|
922 | preAdd 0
|
---|
923 | posAdd 0
|
---|
924 | o 2
|
---|
925 | suid 2,0
|
---|
926 | i "'0'"
|
---|
927 | )
|
---|
928 | )
|
---|
929 | )
|
---|
930 | ]
|
---|
931 | shape (Rectangle
|
---|
932 | uid 176,0
|
---|
933 | va (VaSet
|
---|
934 | vasetType 1
|
---|
935 | fg "0,49152,49152"
|
---|
936 | lineColor "0,0,50000"
|
---|
937 | lineWidth 2
|
---|
938 | )
|
---|
939 | xt "14000,19000,22000,24000"
|
---|
940 | )
|
---|
941 | oxt "22000,15000,30000,19000"
|
---|
942 | ttg (MlTextGroup
|
---|
943 | uid 177,0
|
---|
944 | ps "CenterOffsetStrategy"
|
---|
945 | stg "VerticalLayoutStrategy"
|
---|
946 | textVec [
|
---|
947 | *23 (Text
|
---|
948 | uid 178,0
|
---|
949 | va (VaSet
|
---|
950 | font "Arial,8,1"
|
---|
951 | )
|
---|
952 | xt "14150,24000,21850,25000"
|
---|
953 | st "FACT_FAD_TB_lib"
|
---|
954 | blo "14150,24800"
|
---|
955 | tm "BdLibraryNameMgr"
|
---|
956 | )
|
---|
957 | *24 (Text
|
---|
958 | uid 179,0
|
---|
959 | va (VaSet
|
---|
960 | font "Arial,8,1"
|
---|
961 | )
|
---|
962 | xt "14150,25000,20850,26000"
|
---|
963 | st "clock_generator"
|
---|
964 | blo "14150,25800"
|
---|
965 | tm "CptNameMgr"
|
---|
966 | )
|
---|
967 | *25 (Text
|
---|
968 | uid 180,0
|
---|
969 | va (VaSet
|
---|
970 | font "Arial,8,1"
|
---|
971 | )
|
---|
972 | xt "14150,26000,20250,27000"
|
---|
973 | st "I_adcTB_clock"
|
---|
974 | blo "14150,26800"
|
---|
975 | tm "InstanceNameMgr"
|
---|
976 | )
|
---|
977 | ]
|
---|
978 | )
|
---|
979 | ga (GenericAssociation
|
---|
980 | uid 181,0
|
---|
981 | ps "EdgeToEdgeStrategy"
|
---|
982 | matrix (Matrix
|
---|
983 | uid 182,0
|
---|
984 | text (MLText
|
---|
985 | uid 183,0
|
---|
986 | va (VaSet
|
---|
987 | font "Courier New,8,0"
|
---|
988 | )
|
---|
989 | xt "14000,17400,32500,19000"
|
---|
990 | st "clock_period = 20 ns ( time )
|
---|
991 | reset_time = 50 ns ( time ) "
|
---|
992 | )
|
---|
993 | header ""
|
---|
994 | )
|
---|
995 | elements [
|
---|
996 | (GiElement
|
---|
997 | name "clock_period"
|
---|
998 | type "time"
|
---|
999 | value "20 ns"
|
---|
1000 | )
|
---|
1001 | (GiElement
|
---|
1002 | name "reset_time"
|
---|
1003 | type "time"
|
---|
1004 | value "50 ns"
|
---|
1005 | )
|
---|
1006 | ]
|
---|
1007 | )
|
---|
1008 | viewicon (ZoomableIcon
|
---|
1009 | uid 184,0
|
---|
1010 | sl 0
|
---|
1011 | va (VaSet
|
---|
1012 | vasetType 1
|
---|
1013 | fg "49152,49152,49152"
|
---|
1014 | )
|
---|
1015 | xt "14250,22250,15750,23750"
|
---|
1016 | iconName "VhdlFileViewIcon.png"
|
---|
1017 | iconMaskName "VhdlFileViewIcon.msk"
|
---|
1018 | ftype 10
|
---|
1019 | )
|
---|
1020 | ordering 1
|
---|
1021 | viewiconposition 0
|
---|
1022 | portVis (PortSigDisplay
|
---|
1023 | )
|
---|
1024 | archFileType "UNKNOWN"
|
---|
1025 | )
|
---|
1026 | *26 (Net
|
---|
1027 | uid 185,0
|
---|
1028 | decl (Decl
|
---|
1029 | n "clk"
|
---|
1030 | t "std_logic"
|
---|
1031 | preAdd 0
|
---|
1032 | posAdd 0
|
---|
1033 | o 1
|
---|
1034 | suid 1,0
|
---|
1035 | i "'0'"
|
---|
1036 | )
|
---|
1037 | declText (MLText
|
---|
1038 | uid 186,0
|
---|
1039 | va (VaSet
|
---|
1040 | font "Courier New,8,0"
|
---|
1041 | )
|
---|
1042 | xt "22000,3000,38500,3800"
|
---|
1043 | st "SIGNAL clk : std_logic := '0'
|
---|
1044 | "
|
---|
1045 | )
|
---|
1046 | )
|
---|
1047 | *27 (Net
|
---|
1048 | uid 191,0
|
---|
1049 | decl (Decl
|
---|
1050 | n "data"
|
---|
1051 | t "std_logic_vector"
|
---|
1052 | b "(11 DOWNTO 0)"
|
---|
1053 | preAdd 0
|
---|
1054 | posAdd 0
|
---|
1055 | o 2
|
---|
1056 | suid 2,0
|
---|
1057 | )
|
---|
1058 | declText (MLText
|
---|
1059 | uid 192,0
|
---|
1060 | va (VaSet
|
---|
1061 | font "Courier New,8,0"
|
---|
1062 | )
|
---|
1063 | xt "22000,3800,45500,4600"
|
---|
1064 | st "SIGNAL data : std_logic_vector(11 DOWNTO 0)
|
---|
1065 | "
|
---|
1066 | )
|
---|
1067 | )
|
---|
1068 | *28 (Net
|
---|
1069 | uid 199,0
|
---|
1070 | decl (Decl
|
---|
1071 | n "otr"
|
---|
1072 | t "std_logic"
|
---|
1073 | preAdd 0
|
---|
1074 | posAdd 0
|
---|
1075 | o 3
|
---|
1076 | suid 3,0
|
---|
1077 | )
|
---|
1078 | declText (MLText
|
---|
1079 | uid 200,0
|
---|
1080 | va (VaSet
|
---|
1081 | font "Courier New,8,0"
|
---|
1082 | )
|
---|
1083 | xt "22000,5400,35000,6200"
|
---|
1084 | st "SIGNAL otr : std_logic
|
---|
1085 | "
|
---|
1086 | )
|
---|
1087 | )
|
---|
1088 | *29 (Net
|
---|
1089 | uid 207,0
|
---|
1090 | decl (Decl
|
---|
1091 | n "oeb"
|
---|
1092 | t "std_logic"
|
---|
1093 | preAdd 0
|
---|
1094 | posAdd 0
|
---|
1095 | o 4
|
---|
1096 | suid 4,0
|
---|
1097 | )
|
---|
1098 | declText (MLText
|
---|
1099 | uid 208,0
|
---|
1100 | va (VaSet
|
---|
1101 | font "Courier New,8,0"
|
---|
1102 | )
|
---|
1103 | xt "22000,4600,35000,5400"
|
---|
1104 | st "SIGNAL oeb : std_logic
|
---|
1105 | "
|
---|
1106 | )
|
---|
1107 | )
|
---|
1108 | *30 (HdlText
|
---|
1109 | uid 223,0
|
---|
1110 | optionalChildren [
|
---|
1111 | *31 (EmbeddedText
|
---|
1112 | uid 229,0
|
---|
1113 | commentText (CommentText
|
---|
1114 | uid 230,0
|
---|
1115 | ps "CenterOffsetStrategy"
|
---|
1116 | shape (Rectangle
|
---|
1117 | uid 231,0
|
---|
1118 | va (VaSet
|
---|
1119 | vasetType 1
|
---|
1120 | fg "65535,65535,65535"
|
---|
1121 | lineColor "0,0,32768"
|
---|
1122 | lineWidth 2
|
---|
1123 | )
|
---|
1124 | xt "54000,25000,67000,34000"
|
---|
1125 | )
|
---|
1126 | text (MLText
|
---|
1127 | uid 232,0
|
---|
1128 | va (VaSet
|
---|
1129 | )
|
---|
1130 | xt "54200,25200,66300,33200"
|
---|
1131 | st "
|
---|
1132 | -- eb_adcTB_oeb 1: enable ADC
|
---|
1133 | enable_proc: process
|
---|
1134 | begin
|
---|
1135 | oeb <= '1';
|
---|
1136 | wait for 1 us;
|
---|
1137 | oeb <= '0';
|
---|
1138 | wait;
|
---|
1139 | end process enable_proc;
|
---|
1140 |
|
---|
1141 | "
|
---|
1142 | tm "HdlTextMgr"
|
---|
1143 | wrapOption 3
|
---|
1144 | visibleHeight 9000
|
---|
1145 | visibleWidth 13000
|
---|
1146 | )
|
---|
1147 | )
|
---|
1148 | )
|
---|
1149 | ]
|
---|
1150 | shape (Rectangle
|
---|
1151 | uid 224,0
|
---|
1152 | va (VaSet
|
---|
1153 | vasetType 1
|
---|
1154 | fg "65535,65535,37120"
|
---|
1155 | lineColor "0,0,32768"
|
---|
1156 | lineWidth 2
|
---|
1157 | )
|
---|
1158 | xt "54000,19000,62000,25000"
|
---|
1159 | )
|
---|
1160 | ttg (MlTextGroup
|
---|
1161 | uid 225,0
|
---|
1162 | ps "CenterOffsetStrategy"
|
---|
1163 | stg "VerticalLayoutStrategy"
|
---|
1164 | textVec [
|
---|
1165 | *32 (Text
|
---|
1166 | uid 226,0
|
---|
1167 | va (VaSet
|
---|
1168 | font "Arial,8,1"
|
---|
1169 | )
|
---|
1170 | xt "55150,20000,61450,21000"
|
---|
1171 | st "eb_adcTB_oeb"
|
---|
1172 | blo "55150,20800"
|
---|
1173 | tm "HdlTextNameMgr"
|
---|
1174 | )
|
---|
1175 | *33 (Text
|
---|
1176 | uid 227,0
|
---|
1177 | va (VaSet
|
---|
1178 | font "Arial,8,1"
|
---|
1179 | )
|
---|
1180 | xt "55150,21000,55950,22000"
|
---|
1181 | st "1"
|
---|
1182 | blo "55150,21800"
|
---|
1183 | tm "HdlTextNumberMgr"
|
---|
1184 | )
|
---|
1185 | ]
|
---|
1186 | )
|
---|
1187 | viewicon (ZoomableIcon
|
---|
1188 | uid 228,0
|
---|
1189 | sl 0
|
---|
1190 | va (VaSet
|
---|
1191 | vasetType 1
|
---|
1192 | fg "49152,49152,49152"
|
---|
1193 | )
|
---|
1194 | xt "54250,23250,55750,24750"
|
---|
1195 | iconName "TextFile.png"
|
---|
1196 | iconMaskName "TextFile.msk"
|
---|
1197 | ftype 21
|
---|
1198 | )
|
---|
1199 | viewiconposition 0
|
---|
1200 | )
|
---|
1201 | *34 (Wire
|
---|
1202 | uid 187,0
|
---|
1203 | shape (OrthoPolyLine
|
---|
1204 | uid 188,0
|
---|
1205 | va (VaSet
|
---|
1206 | vasetType 3
|
---|
1207 | )
|
---|
1208 | xt "22750,21000,31250,21000"
|
---|
1209 | pts [
|
---|
1210 | "22750,21000"
|
---|
1211 | "31250,21000"
|
---|
1212 | ]
|
---|
1213 | )
|
---|
1214 | start &21
|
---|
1215 | end &13
|
---|
1216 | sat 32
|
---|
1217 | eat 32
|
---|
1218 | st 0
|
---|
1219 | sf 1
|
---|
1220 | si 0
|
---|
1221 | tg (WTG
|
---|
1222 | uid 189,0
|
---|
1223 | ps "ConnStartEndStrategy"
|
---|
1224 | stg "STSignalDisplayStrategy"
|
---|
1225 | f (Text
|
---|
1226 | uid 190,0
|
---|
1227 | va (VaSet
|
---|
1228 | )
|
---|
1229 | xt "26000,20000,27300,21000"
|
---|
1230 | st "clk"
|
---|
1231 | blo "26000,20800"
|
---|
1232 | tm "WireNameMgr"
|
---|
1233 | )
|
---|
1234 | )
|
---|
1235 | on &26
|
---|
1236 | )
|
---|
1237 | *35 (Wire
|
---|
1238 | uid 193,0
|
---|
1239 | shape (OrthoPolyLine
|
---|
1240 | uid 194,0
|
---|
1241 | va (VaSet
|
---|
1242 | vasetType 3
|
---|
1243 | lineWidth 2
|
---|
1244 | )
|
---|
1245 | xt "42750,23000,50000,23000"
|
---|
1246 | pts [
|
---|
1247 | "42750,23000"
|
---|
1248 | "50000,23000"
|
---|
1249 | ]
|
---|
1250 | )
|
---|
1251 | start &14
|
---|
1252 | sat 32
|
---|
1253 | eat 16
|
---|
1254 | sty 1
|
---|
1255 | st 0
|
---|
1256 | sf 1
|
---|
1257 | tg (WTG
|
---|
1258 | uid 197,0
|
---|
1259 | ps "ConnStartEndStrategy"
|
---|
1260 | stg "STSignalDisplayStrategy"
|
---|
1261 | f (Text
|
---|
1262 | uid 198,0
|
---|
1263 | va (VaSet
|
---|
1264 | )
|
---|
1265 | xt "44000,22000,48800,23000"
|
---|
1266 | st "data : (11:0)"
|
---|
1267 | blo "44000,22800"
|
---|
1268 | tm "WireNameMgr"
|
---|
1269 | )
|
---|
1270 | )
|
---|
1271 | on &27
|
---|
1272 | )
|
---|
1273 | *36 (Wire
|
---|
1274 | uid 201,0
|
---|
1275 | shape (OrthoPolyLine
|
---|
1276 | uid 202,0
|
---|
1277 | va (VaSet
|
---|
1278 | vasetType 3
|
---|
1279 | )
|
---|
1280 | xt "42750,21000,50000,21000"
|
---|
1281 | pts [
|
---|
1282 | "42750,21000"
|
---|
1283 | "50000,21000"
|
---|
1284 | ]
|
---|
1285 | )
|
---|
1286 | start &15
|
---|
1287 | sat 32
|
---|
1288 | eat 16
|
---|
1289 | st 0
|
---|
1290 | sf 1
|
---|
1291 | tg (WTG
|
---|
1292 | uid 205,0
|
---|
1293 | ps "ConnStartEndStrategy"
|
---|
1294 | stg "STSignalDisplayStrategy"
|
---|
1295 | f (Text
|
---|
1296 | uid 206,0
|
---|
1297 | va (VaSet
|
---|
1298 | )
|
---|
1299 | xt "44000,20000,45300,21000"
|
---|
1300 | st "otr"
|
---|
1301 | blo "44000,20800"
|
---|
1302 | tm "WireNameMgr"
|
---|
1303 | )
|
---|
1304 | )
|
---|
1305 | on &28
|
---|
1306 | )
|
---|
1307 | *37 (Wire
|
---|
1308 | uid 209,0
|
---|
1309 | shape (OrthoPolyLine
|
---|
1310 | uid 210,0
|
---|
1311 | va (VaSet
|
---|
1312 | vasetType 3
|
---|
1313 | )
|
---|
1314 | xt "42750,22000,54000,22000"
|
---|
1315 | pts [
|
---|
1316 | "54000,22000"
|
---|
1317 | "42750,22000"
|
---|
1318 | ]
|
---|
1319 | )
|
---|
1320 | start &30
|
---|
1321 | end &16
|
---|
1322 | sat 2
|
---|
1323 | eat 32
|
---|
1324 | st 0
|
---|
1325 | sf 1
|
---|
1326 | tg (WTG
|
---|
1327 | uid 213,0
|
---|
1328 | ps "ConnStartEndStrategy"
|
---|
1329 | stg "STSignalDisplayStrategy"
|
---|
1330 | f (Text
|
---|
1331 | uid 214,0
|
---|
1332 | va (VaSet
|
---|
1333 | )
|
---|
1334 | xt "44000,21000,45600,22000"
|
---|
1335 | st "oeb"
|
---|
1336 | blo "44000,21800"
|
---|
1337 | tm "WireNameMgr"
|
---|
1338 | )
|
---|
1339 | )
|
---|
1340 | on &29
|
---|
1341 | )
|
---|
1342 | ]
|
---|
1343 | bg "65535,65535,65535"
|
---|
1344 | grid (Grid
|
---|
1345 | origin "0,0"
|
---|
1346 | isVisible 1
|
---|
1347 | isActive 1
|
---|
1348 | xSpacing 1000
|
---|
1349 | xySpacing 1000
|
---|
1350 | xShown 1
|
---|
1351 | yShown 1
|
---|
1352 | color "26368,26368,26368"
|
---|
1353 | )
|
---|
1354 | packageList *38 (PackageList
|
---|
1355 | uid 41,0
|
---|
1356 | stg "VerticalLayoutStrategy"
|
---|
1357 | textVec [
|
---|
1358 | *39 (Text
|
---|
1359 | uid 42,0
|
---|
1360 | va (VaSet
|
---|
1361 | font "arial,8,1"
|
---|
1362 | )
|
---|
1363 | xt "0,0,5400,1000"
|
---|
1364 | st "Package List"
|
---|
1365 | blo "0,800"
|
---|
1366 | )
|
---|
1367 | *40 (MLText
|
---|
1368 | uid 43,0
|
---|
1369 | va (VaSet
|
---|
1370 | )
|
---|
1371 | xt "0,1000,12400,8000"
|
---|
1372 | st "LIBRARY ieee;
|
---|
1373 | USE ieee.std_logic_1164.all;
|
---|
1374 | USE ieee.std_logic_arith.all;
|
---|
1375 | USE ieee.std_logic_unsigned.all;
|
---|
1376 | USE ieee.std_logic_textio.all;
|
---|
1377 | LIBRARY std;
|
---|
1378 | USE std.textio.all;"
|
---|
1379 | tm "PackageList"
|
---|
1380 | )
|
---|
1381 | ]
|
---|
1382 | )
|
---|
1383 | compDirBlock (MlTextGroup
|
---|
1384 | uid 44,0
|
---|
1385 | stg "VerticalLayoutStrategy"
|
---|
1386 | textVec [
|
---|
1387 | *41 (Text
|
---|
1388 | uid 45,0
|
---|
1389 | va (VaSet
|
---|
1390 | isHidden 1
|
---|
1391 | font "Arial,8,1"
|
---|
1392 | )
|
---|
1393 | xt "20000,0,28100,1000"
|
---|
1394 | st "Compiler Directives"
|
---|
1395 | blo "20000,800"
|
---|
1396 | )
|
---|
1397 | *42 (Text
|
---|
1398 | uid 46,0
|
---|
1399 | va (VaSet
|
---|
1400 | isHidden 1
|
---|
1401 | font "Arial,8,1"
|
---|
1402 | )
|
---|
1403 | xt "20000,1000,29600,2000"
|
---|
1404 | st "Pre-module directives:"
|
---|
1405 | blo "20000,1800"
|
---|
1406 | )
|
---|
1407 | *43 (MLText
|
---|
1408 | uid 47,0
|
---|
1409 | va (VaSet
|
---|
1410 | isHidden 1
|
---|
1411 | )
|
---|
1412 | xt "20000,2000,27500,4000"
|
---|
1413 | st "`resetall
|
---|
1414 | `timescale 1ns/10ps"
|
---|
1415 | tm "BdCompilerDirectivesTextMgr"
|
---|
1416 | )
|
---|
1417 | *44 (Text
|
---|
1418 | uid 48,0
|
---|
1419 | va (VaSet
|
---|
1420 | isHidden 1
|
---|
1421 | font "Arial,8,1"
|
---|
1422 | )
|
---|
1423 | xt "20000,4000,30100,5000"
|
---|
1424 | st "Post-module directives:"
|
---|
1425 | blo "20000,4800"
|
---|
1426 | )
|
---|
1427 | *45 (MLText
|
---|
1428 | uid 49,0
|
---|
1429 | va (VaSet
|
---|
1430 | isHidden 1
|
---|
1431 | )
|
---|
1432 | xt "20000,0,20000,0"
|
---|
1433 | tm "BdCompilerDirectivesTextMgr"
|
---|
1434 | )
|
---|
1435 | *46 (Text
|
---|
1436 | uid 50,0
|
---|
1437 | va (VaSet
|
---|
1438 | isHidden 1
|
---|
1439 | font "Arial,8,1"
|
---|
1440 | )
|
---|
1441 | xt "20000,5000,29900,6000"
|
---|
1442 | st "End-module directives:"
|
---|
1443 | blo "20000,5800"
|
---|
1444 | )
|
---|
1445 | *47 (MLText
|
---|
1446 | uid 51,0
|
---|
1447 | va (VaSet
|
---|
1448 | isHidden 1
|
---|
1449 | )
|
---|
1450 | xt "20000,6000,20000,6000"
|
---|
1451 | tm "BdCompilerDirectivesTextMgr"
|
---|
1452 | )
|
---|
1453 | ]
|
---|
1454 | associable 1
|
---|
1455 | )
|
---|
1456 | windowSize "-4,-4,1284,998"
|
---|
1457 | viewArea "-8133,-7544,70472,53176"
|
---|
1458 | cachedDiagramExtent "0,0,73000,49000"
|
---|
1459 | hasePageBreakOrigin 1
|
---|
1460 | pageBreakOrigin "0,0"
|
---|
1461 | lastUid 232,0
|
---|
1462 | defaultCommentText (CommentText
|
---|
1463 | shape (Rectangle
|
---|
1464 | layer 0
|
---|
1465 | va (VaSet
|
---|
1466 | vasetType 1
|
---|
1467 | fg "65280,65280,46080"
|
---|
1468 | lineColor "0,0,32768"
|
---|
1469 | )
|
---|
1470 | xt "0,0,15000,5000"
|
---|
1471 | )
|
---|
1472 | text (MLText
|
---|
1473 | va (VaSet
|
---|
1474 | fg "0,0,32768"
|
---|
1475 | )
|
---|
1476 | xt "200,200,2000,1200"
|
---|
1477 | st "
|
---|
1478 | Text
|
---|
1479 | "
|
---|
1480 | tm "CommentText"
|
---|
1481 | wrapOption 3
|
---|
1482 | visibleHeight 4600
|
---|
1483 | visibleWidth 14600
|
---|
1484 | )
|
---|
1485 | )
|
---|
1486 | defaultPanel (Panel
|
---|
1487 | shape (RectFrame
|
---|
1488 | va (VaSet
|
---|
1489 | vasetType 1
|
---|
1490 | fg "65535,65535,65535"
|
---|
1491 | lineColor "32768,0,0"
|
---|
1492 | lineWidth 2
|
---|
1493 | )
|
---|
1494 | xt "0,0,20000,20000"
|
---|
1495 | )
|
---|
1496 | title (TextAssociate
|
---|
1497 | ps "TopLeftStrategy"
|
---|
1498 | text (Text
|
---|
1499 | va (VaSet
|
---|
1500 | font "Arial,8,1"
|
---|
1501 | )
|
---|
1502 | xt "1000,1000,3800,2000"
|
---|
1503 | st "Panel0"
|
---|
1504 | blo "1000,1800"
|
---|
1505 | tm "PanelText"
|
---|
1506 | )
|
---|
1507 | )
|
---|
1508 | )
|
---|
1509 | defaultBlk (Blk
|
---|
1510 | shape (Rectangle
|
---|
1511 | va (VaSet
|
---|
1512 | vasetType 1
|
---|
1513 | fg "39936,56832,65280"
|
---|
1514 | lineColor "0,0,32768"
|
---|
1515 | lineWidth 2
|
---|
1516 | )
|
---|
1517 | xt "0,0,8000,10000"
|
---|
1518 | )
|
---|
1519 | ttg (MlTextGroup
|
---|
1520 | ps "CenterOffsetStrategy"
|
---|
1521 | stg "VerticalLayoutStrategy"
|
---|
1522 | textVec [
|
---|
1523 | *48 (Text
|
---|
1524 | va (VaSet
|
---|
1525 | font "Arial,8,1"
|
---|
1526 | )
|
---|
1527 | xt "2200,3500,5800,4500"
|
---|
1528 | st "<library>"
|
---|
1529 | blo "2200,4300"
|
---|
1530 | tm "BdLibraryNameMgr"
|
---|
1531 | )
|
---|
1532 | *49 (Text
|
---|
1533 | va (VaSet
|
---|
1534 | font "Arial,8,1"
|
---|
1535 | )
|
---|
1536 | xt "2200,4500,5600,5500"
|
---|
1537 | st "<block>"
|
---|
1538 | blo "2200,5300"
|
---|
1539 | tm "BlkNameMgr"
|
---|
1540 | )
|
---|
1541 | *50 (Text
|
---|
1542 | va (VaSet
|
---|
1543 | font "Arial,8,1"
|
---|
1544 | )
|
---|
1545 | xt "2200,5500,3200,6500"
|
---|
1546 | st "I0"
|
---|
1547 | blo "2200,6300"
|
---|
1548 | tm "InstanceNameMgr"
|
---|
1549 | )
|
---|
1550 | ]
|
---|
1551 | )
|
---|
1552 | ga (GenericAssociation
|
---|
1553 | ps "EdgeToEdgeStrategy"
|
---|
1554 | matrix (Matrix
|
---|
1555 | text (MLText
|
---|
1556 | va (VaSet
|
---|
1557 | font "Courier New,8,0"
|
---|
1558 | )
|
---|
1559 | xt "2200,13500,2200,13500"
|
---|
1560 | )
|
---|
1561 | header ""
|
---|
1562 | )
|
---|
1563 | elements [
|
---|
1564 | ]
|
---|
1565 | )
|
---|
1566 | viewicon (ZoomableIcon
|
---|
1567 | sl 0
|
---|
1568 | va (VaSet
|
---|
1569 | vasetType 1
|
---|
1570 | fg "49152,49152,49152"
|
---|
1571 | )
|
---|
1572 | xt "0,0,1500,1500"
|
---|
1573 | iconName "UnknownFile.png"
|
---|
1574 | iconMaskName "UnknownFile.msk"
|
---|
1575 | )
|
---|
1576 | viewiconposition 0
|
---|
1577 | )
|
---|
1578 | defaultMWComponent (MWC
|
---|
1579 | shape (Rectangle
|
---|
1580 | va (VaSet
|
---|
1581 | vasetType 1
|
---|
1582 | fg "0,65535,0"
|
---|
1583 | lineColor "0,32896,0"
|
---|
1584 | lineWidth 2
|
---|
1585 | )
|
---|
1586 | xt "0,0,8000,10000"
|
---|
1587 | )
|
---|
1588 | ttg (MlTextGroup
|
---|
1589 | ps "CenterOffsetStrategy"
|
---|
1590 | stg "VerticalLayoutStrategy"
|
---|
1591 | textVec [
|
---|
1592 | *51 (Text
|
---|
1593 | va (VaSet
|
---|
1594 | font "Arial,8,1"
|
---|
1595 | )
|
---|
1596 | xt "550,3500,3450,4500"
|
---|
1597 | st "Library"
|
---|
1598 | blo "550,4300"
|
---|
1599 | )
|
---|
1600 | *52 (Text
|
---|
1601 | va (VaSet
|
---|
1602 | font "Arial,8,1"
|
---|
1603 | )
|
---|
1604 | xt "550,4500,7450,5500"
|
---|
1605 | st "MWComponent"
|
---|
1606 | blo "550,5300"
|
---|
1607 | )
|
---|
1608 | *53 (Text
|
---|
1609 | va (VaSet
|
---|
1610 | font "Arial,8,1"
|
---|
1611 | )
|
---|
1612 | xt "550,5500,1550,6500"
|
---|
1613 | st "I0"
|
---|
1614 | blo "550,6300"
|
---|
1615 | tm "InstanceNameMgr"
|
---|
1616 | )
|
---|
1617 | ]
|
---|
1618 | )
|
---|
1619 | ga (GenericAssociation
|
---|
1620 | ps "EdgeToEdgeStrategy"
|
---|
1621 | matrix (Matrix
|
---|
1622 | text (MLText
|
---|
1623 | va (VaSet
|
---|
1624 | font "Courier New,8,0"
|
---|
1625 | )
|
---|
1626 | xt "-6450,1500,-6450,1500"
|
---|
1627 | )
|
---|
1628 | header ""
|
---|
1629 | )
|
---|
1630 | elements [
|
---|
1631 | ]
|
---|
1632 | )
|
---|
1633 | portVis (PortSigDisplay
|
---|
1634 | )
|
---|
1635 | prms (Property
|
---|
1636 | pclass "params"
|
---|
1637 | pname "params"
|
---|
1638 | ptn "String"
|
---|
1639 | )
|
---|
1640 | visOptions (mwParamsVisibilityOptions
|
---|
1641 | )
|
---|
1642 | )
|
---|
1643 | defaultSaComponent (SaComponent
|
---|
1644 | shape (Rectangle
|
---|
1645 | va (VaSet
|
---|
1646 | vasetType 1
|
---|
1647 | fg "0,65535,0"
|
---|
1648 | lineColor "0,32896,0"
|
---|
1649 | lineWidth 2
|
---|
1650 | )
|
---|
1651 | xt "0,0,8000,10000"
|
---|
1652 | )
|
---|
1653 | ttg (MlTextGroup
|
---|
1654 | ps "CenterOffsetStrategy"
|
---|
1655 | stg "VerticalLayoutStrategy"
|
---|
1656 | textVec [
|
---|
1657 | *54 (Text
|
---|
1658 | va (VaSet
|
---|
1659 | font "Arial,8,1"
|
---|
1660 | )
|
---|
1661 | xt "900,3500,3800,4500"
|
---|
1662 | st "Library"
|
---|
1663 | blo "900,4300"
|
---|
1664 | tm "BdLibraryNameMgr"
|
---|
1665 | )
|
---|
1666 | *55 (Text
|
---|
1667 | va (VaSet
|
---|
1668 | font "Arial,8,1"
|
---|
1669 | )
|
---|
1670 | xt "900,4500,7100,5500"
|
---|
1671 | st "SaComponent"
|
---|
1672 | blo "900,5300"
|
---|
1673 | tm "CptNameMgr"
|
---|
1674 | )
|
---|
1675 | *56 (Text
|
---|
1676 | va (VaSet
|
---|
1677 | font "Arial,8,1"
|
---|
1678 | )
|
---|
1679 | xt "900,5500,1900,6500"
|
---|
1680 | st "I0"
|
---|
1681 | blo "900,6300"
|
---|
1682 | tm "InstanceNameMgr"
|
---|
1683 | )
|
---|
1684 | ]
|
---|
1685 | )
|
---|
1686 | ga (GenericAssociation
|
---|
1687 | ps "EdgeToEdgeStrategy"
|
---|
1688 | matrix (Matrix
|
---|
1689 | text (MLText
|
---|
1690 | va (VaSet
|
---|
1691 | font "Courier New,8,0"
|
---|
1692 | )
|
---|
1693 | xt "-6100,1500,-6100,1500"
|
---|
1694 | )
|
---|
1695 | header ""
|
---|
1696 | )
|
---|
1697 | elements [
|
---|
1698 | ]
|
---|
1699 | )
|
---|
1700 | viewicon (ZoomableIcon
|
---|
1701 | sl 0
|
---|
1702 | va (VaSet
|
---|
1703 | vasetType 1
|
---|
1704 | fg "49152,49152,49152"
|
---|
1705 | )
|
---|
1706 | xt "0,0,1500,1500"
|
---|
1707 | iconName "UnknownFile.png"
|
---|
1708 | iconMaskName "UnknownFile.msk"
|
---|
1709 | )
|
---|
1710 | viewiconposition 0
|
---|
1711 | portVis (PortSigDisplay
|
---|
1712 | )
|
---|
1713 | archFileType "UNKNOWN"
|
---|
1714 | )
|
---|
1715 | defaultVhdlComponent (VhdlComponent
|
---|
1716 | shape (Rectangle
|
---|
1717 | va (VaSet
|
---|
1718 | vasetType 1
|
---|
1719 | fg "0,65535,0"
|
---|
1720 | lineColor "0,32896,0"
|
---|
1721 | lineWidth 2
|
---|
1722 | )
|
---|
1723 | xt "0,0,8000,10000"
|
---|
1724 | )
|
---|
1725 | ttg (MlTextGroup
|
---|
1726 | ps "CenterOffsetStrategy"
|
---|
1727 | stg "VerticalLayoutStrategy"
|
---|
1728 | textVec [
|
---|
1729 | *57 (Text
|
---|
1730 | va (VaSet
|
---|
1731 | font "Arial,8,1"
|
---|
1732 | )
|
---|
1733 | xt "500,3500,3400,4500"
|
---|
1734 | st "Library"
|
---|
1735 | blo "500,4300"
|
---|
1736 | )
|
---|
1737 | *58 (Text
|
---|
1738 | va (VaSet
|
---|
1739 | font "Arial,8,1"
|
---|
1740 | )
|
---|
1741 | xt "500,4500,7500,5500"
|
---|
1742 | st "VhdlComponent"
|
---|
1743 | blo "500,5300"
|
---|
1744 | )
|
---|
1745 | *59 (Text
|
---|
1746 | va (VaSet
|
---|
1747 | font "Arial,8,1"
|
---|
1748 | )
|
---|
1749 | xt "500,5500,1500,6500"
|
---|
1750 | st "I0"
|
---|
1751 | blo "500,6300"
|
---|
1752 | tm "InstanceNameMgr"
|
---|
1753 | )
|
---|
1754 | ]
|
---|
1755 | )
|
---|
1756 | ga (GenericAssociation
|
---|
1757 | ps "EdgeToEdgeStrategy"
|
---|
1758 | matrix (Matrix
|
---|
1759 | text (MLText
|
---|
1760 | va (VaSet
|
---|
1761 | font "Courier New,8,0"
|
---|
1762 | )
|
---|
1763 | xt "-6500,1500,-6500,1500"
|
---|
1764 | )
|
---|
1765 | header ""
|
---|
1766 | )
|
---|
1767 | elements [
|
---|
1768 | ]
|
---|
1769 | )
|
---|
1770 | portVis (PortSigDisplay
|
---|
1771 | )
|
---|
1772 | entityPath ""
|
---|
1773 | archName ""
|
---|
1774 | archPath ""
|
---|
1775 | )
|
---|
1776 | defaultVerilogComponent (VerilogComponent
|
---|
1777 | shape (Rectangle
|
---|
1778 | va (VaSet
|
---|
1779 | vasetType 1
|
---|
1780 | fg "0,65535,0"
|
---|
1781 | lineColor "0,32896,0"
|
---|
1782 | lineWidth 2
|
---|
1783 | )
|
---|
1784 | xt "-450,0,8450,10000"
|
---|
1785 | )
|
---|
1786 | ttg (MlTextGroup
|
---|
1787 | ps "CenterOffsetStrategy"
|
---|
1788 | stg "VerticalLayoutStrategy"
|
---|
1789 | textVec [
|
---|
1790 | *60 (Text
|
---|
1791 | va (VaSet
|
---|
1792 | font "Arial,8,1"
|
---|
1793 | )
|
---|
1794 | xt "50,3500,2950,4500"
|
---|
1795 | st "Library"
|
---|
1796 | blo "50,4300"
|
---|
1797 | )
|
---|
1798 | *61 (Text
|
---|
1799 | va (VaSet
|
---|
1800 | font "Arial,8,1"
|
---|
1801 | )
|
---|
1802 | xt "50,4500,7950,5500"
|
---|
1803 | st "VerilogComponent"
|
---|
1804 | blo "50,5300"
|
---|
1805 | )
|
---|
1806 | *62 (Text
|
---|
1807 | va (VaSet
|
---|
1808 | font "Arial,8,1"
|
---|
1809 | )
|
---|
1810 | xt "50,5500,1050,6500"
|
---|
1811 | st "I0"
|
---|
1812 | blo "50,6300"
|
---|
1813 | tm "InstanceNameMgr"
|
---|
1814 | )
|
---|
1815 | ]
|
---|
1816 | )
|
---|
1817 | ga (GenericAssociation
|
---|
1818 | ps "EdgeToEdgeStrategy"
|
---|
1819 | matrix (Matrix
|
---|
1820 | text (MLText
|
---|
1821 | va (VaSet
|
---|
1822 | font "Courier New,8,0"
|
---|
1823 | )
|
---|
1824 | xt "-6950,1500,-6950,1500"
|
---|
1825 | )
|
---|
1826 | header ""
|
---|
1827 | )
|
---|
1828 | elements [
|
---|
1829 | ]
|
---|
1830 | )
|
---|
1831 | entityPath ""
|
---|
1832 | )
|
---|
1833 | defaultHdlText (HdlText
|
---|
1834 | shape (Rectangle
|
---|
1835 | va (VaSet
|
---|
1836 | vasetType 1
|
---|
1837 | fg "65535,65535,37120"
|
---|
1838 | lineColor "0,0,32768"
|
---|
1839 | lineWidth 2
|
---|
1840 | )
|
---|
1841 | xt "0,0,8000,10000"
|
---|
1842 | )
|
---|
1843 | ttg (MlTextGroup
|
---|
1844 | ps "CenterOffsetStrategy"
|
---|
1845 | stg "VerticalLayoutStrategy"
|
---|
1846 | textVec [
|
---|
1847 | *63 (Text
|
---|
1848 | va (VaSet
|
---|
1849 | font "Arial,8,1"
|
---|
1850 | )
|
---|
1851 | xt "3150,4000,4850,5000"
|
---|
1852 | st "eb1"
|
---|
1853 | blo "3150,4800"
|
---|
1854 | tm "HdlTextNameMgr"
|
---|
1855 | )
|
---|
1856 | *64 (Text
|
---|
1857 | va (VaSet
|
---|
1858 | font "Arial,8,1"
|
---|
1859 | )
|
---|
1860 | xt "3150,5000,3950,6000"
|
---|
1861 | st "1"
|
---|
1862 | blo "3150,5800"
|
---|
1863 | tm "HdlTextNumberMgr"
|
---|
1864 | )
|
---|
1865 | ]
|
---|
1866 | )
|
---|
1867 | viewicon (ZoomableIcon
|
---|
1868 | sl 0
|
---|
1869 | va (VaSet
|
---|
1870 | vasetType 1
|
---|
1871 | fg "49152,49152,49152"
|
---|
1872 | )
|
---|
1873 | xt "0,0,1500,1500"
|
---|
1874 | iconName "UnknownFile.png"
|
---|
1875 | iconMaskName "UnknownFile.msk"
|
---|
1876 | )
|
---|
1877 | viewiconposition 0
|
---|
1878 | )
|
---|
1879 | defaultEmbeddedText (EmbeddedText
|
---|
1880 | commentText (CommentText
|
---|
1881 | ps "CenterOffsetStrategy"
|
---|
1882 | shape (Rectangle
|
---|
1883 | va (VaSet
|
---|
1884 | vasetType 1
|
---|
1885 | fg "65535,65535,65535"
|
---|
1886 | lineColor "0,0,32768"
|
---|
1887 | lineWidth 2
|
---|
1888 | )
|
---|
1889 | xt "0,0,18000,5000"
|
---|
1890 | )
|
---|
1891 | text (MLText
|
---|
1892 | va (VaSet
|
---|
1893 | )
|
---|
1894 | xt "200,200,2000,1200"
|
---|
1895 | st "
|
---|
1896 | Text
|
---|
1897 | "
|
---|
1898 | tm "HdlTextMgr"
|
---|
1899 | wrapOption 3
|
---|
1900 | visibleHeight 4600
|
---|
1901 | visibleWidth 17600
|
---|
1902 | )
|
---|
1903 | )
|
---|
1904 | )
|
---|
1905 | defaultGlobalConnector (GlobalConnector
|
---|
1906 | shape (Circle
|
---|
1907 | va (VaSet
|
---|
1908 | vasetType 1
|
---|
1909 | fg "65535,65535,0"
|
---|
1910 | )
|
---|
1911 | xt "-1000,-1000,1000,1000"
|
---|
1912 | radius 1000
|
---|
1913 | )
|
---|
1914 | name (Text
|
---|
1915 | va (VaSet
|
---|
1916 | font "Arial,8,1"
|
---|
1917 | )
|
---|
1918 | xt "-500,-500,500,500"
|
---|
1919 | st "G"
|
---|
1920 | blo "-500,300"
|
---|
1921 | )
|
---|
1922 | )
|
---|
1923 | defaultRipper (Ripper
|
---|
1924 | ps "OnConnectorStrategy"
|
---|
1925 | shape (Line2D
|
---|
1926 | pts [
|
---|
1927 | "0,0"
|
---|
1928 | "1000,1000"
|
---|
1929 | ]
|
---|
1930 | va (VaSet
|
---|
1931 | vasetType 1
|
---|
1932 | )
|
---|
1933 | xt "0,0,1000,1000"
|
---|
1934 | )
|
---|
1935 | )
|
---|
1936 | defaultBdJunction (BdJunction
|
---|
1937 | ps "OnConnectorStrategy"
|
---|
1938 | shape (Circle
|
---|
1939 | va (VaSet
|
---|
1940 | vasetType 1
|
---|
1941 | )
|
---|
1942 | xt "-400,-400,400,400"
|
---|
1943 | radius 400
|
---|
1944 | )
|
---|
1945 | )
|
---|
1946 | defaultPortIoIn (PortIoIn
|
---|
1947 | shape (CompositeShape
|
---|
1948 | va (VaSet
|
---|
1949 | vasetType 1
|
---|
1950 | fg "0,0,32768"
|
---|
1951 | )
|
---|
1952 | optionalChildren [
|
---|
1953 | (Pentagon
|
---|
1954 | sl 0
|
---|
1955 | ro 270
|
---|
1956 | xt "-2000,-375,-500,375"
|
---|
1957 | )
|
---|
1958 | (Line
|
---|
1959 | sl 0
|
---|
1960 | ro 270
|
---|
1961 | xt "-500,0,0,0"
|
---|
1962 | pts [
|
---|
1963 | "-500,0"
|
---|
1964 | "0,0"
|
---|
1965 | ]
|
---|
1966 | )
|
---|
1967 | ]
|
---|
1968 | )
|
---|
1969 | stc 0
|
---|
1970 | sf 1
|
---|
1971 | tg (WTG
|
---|
1972 | ps "PortIoTextPlaceStrategy"
|
---|
1973 | stg "STSignalDisplayStrategy"
|
---|
1974 | f (Text
|
---|
1975 | va (VaSet
|
---|
1976 | )
|
---|
1977 | xt "-1375,-1000,-1375,-1000"
|
---|
1978 | ju 2
|
---|
1979 | blo "-1375,-1000"
|
---|
1980 | tm "WireNameMgr"
|
---|
1981 | )
|
---|
1982 | )
|
---|
1983 | )
|
---|
1984 | defaultPortIoOut (PortIoOut
|
---|
1985 | shape (CompositeShape
|
---|
1986 | va (VaSet
|
---|
1987 | vasetType 1
|
---|
1988 | fg "0,0,32768"
|
---|
1989 | )
|
---|
1990 | optionalChildren [
|
---|
1991 | (Pentagon
|
---|
1992 | sl 0
|
---|
1993 | ro 270
|
---|
1994 | xt "500,-375,2000,375"
|
---|
1995 | )
|
---|
1996 | (Line
|
---|
1997 | sl 0
|
---|
1998 | ro 270
|
---|
1999 | xt "0,0,500,0"
|
---|
2000 | pts [
|
---|
2001 | "0,0"
|
---|
2002 | "500,0"
|
---|
2003 | ]
|
---|
2004 | )
|
---|
2005 | ]
|
---|
2006 | )
|
---|
2007 | stc 0
|
---|
2008 | sf 1
|
---|
2009 | tg (WTG
|
---|
2010 | ps "PortIoTextPlaceStrategy"
|
---|
2011 | stg "STSignalDisplayStrategy"
|
---|
2012 | f (Text
|
---|
2013 | va (VaSet
|
---|
2014 | )
|
---|
2015 | xt "625,-1000,625,-1000"
|
---|
2016 | blo "625,-1000"
|
---|
2017 | tm "WireNameMgr"
|
---|
2018 | )
|
---|
2019 | )
|
---|
2020 | )
|
---|
2021 | defaultPortIoInOut (PortIoInOut
|
---|
2022 | shape (CompositeShape
|
---|
2023 | va (VaSet
|
---|
2024 | vasetType 1
|
---|
2025 | fg "0,0,32768"
|
---|
2026 | )
|
---|
2027 | optionalChildren [
|
---|
2028 | (Hexagon
|
---|
2029 | sl 0
|
---|
2030 | xt "500,-375,2000,375"
|
---|
2031 | )
|
---|
2032 | (Line
|
---|
2033 | sl 0
|
---|
2034 | xt "0,0,500,0"
|
---|
2035 | pts [
|
---|
2036 | "0,0"
|
---|
2037 | "500,0"
|
---|
2038 | ]
|
---|
2039 | )
|
---|
2040 | ]
|
---|
2041 | )
|
---|
2042 | stc 0
|
---|
2043 | sf 1
|
---|
2044 | tg (WTG
|
---|
2045 | ps "PortIoTextPlaceStrategy"
|
---|
2046 | stg "STSignalDisplayStrategy"
|
---|
2047 | f (Text
|
---|
2048 | va (VaSet
|
---|
2049 | )
|
---|
2050 | xt "0,-375,0,-375"
|
---|
2051 | blo "0,-375"
|
---|
2052 | tm "WireNameMgr"
|
---|
2053 | )
|
---|
2054 | )
|
---|
2055 | )
|
---|
2056 | defaultPortIoBuffer (PortIoBuffer
|
---|
2057 | shape (CompositeShape
|
---|
2058 | va (VaSet
|
---|
2059 | vasetType 1
|
---|
2060 | fg "65535,65535,65535"
|
---|
2061 | lineColor "0,0,32768"
|
---|
2062 | )
|
---|
2063 | optionalChildren [
|
---|
2064 | (Hexagon
|
---|
2065 | sl 0
|
---|
2066 | xt "500,-375,2000,375"
|
---|
2067 | )
|
---|
2068 | (Line
|
---|
2069 | sl 0
|
---|
2070 | xt "0,0,500,0"
|
---|
2071 | pts [
|
---|
2072 | "0,0"
|
---|
2073 | "500,0"
|
---|
2074 | ]
|
---|
2075 | )
|
---|
2076 | ]
|
---|
2077 | )
|
---|
2078 | stc 0
|
---|
2079 | sf 1
|
---|
2080 | tg (WTG
|
---|
2081 | ps "PortIoTextPlaceStrategy"
|
---|
2082 | stg "STSignalDisplayStrategy"
|
---|
2083 | f (Text
|
---|
2084 | va (VaSet
|
---|
2085 | )
|
---|
2086 | xt "0,-375,0,-375"
|
---|
2087 | blo "0,-375"
|
---|
2088 | tm "WireNameMgr"
|
---|
2089 | )
|
---|
2090 | )
|
---|
2091 | )
|
---|
2092 | defaultSignal (Wire
|
---|
2093 | shape (OrthoPolyLine
|
---|
2094 | va (VaSet
|
---|
2095 | vasetType 3
|
---|
2096 | )
|
---|
2097 | pts [
|
---|
2098 | "0,0"
|
---|
2099 | "0,0"
|
---|
2100 | ]
|
---|
2101 | )
|
---|
2102 | ss 0
|
---|
2103 | es 0
|
---|
2104 | sat 32
|
---|
2105 | eat 32
|
---|
2106 | st 0
|
---|
2107 | sf 1
|
---|
2108 | si 0
|
---|
2109 | tg (WTG
|
---|
2110 | ps "ConnStartEndStrategy"
|
---|
2111 | stg "STSignalDisplayStrategy"
|
---|
2112 | f (Text
|
---|
2113 | va (VaSet
|
---|
2114 | )
|
---|
2115 | xt "0,0,1900,1000"
|
---|
2116 | st "sig0"
|
---|
2117 | blo "0,800"
|
---|
2118 | tm "WireNameMgr"
|
---|
2119 | )
|
---|
2120 | )
|
---|
2121 | )
|
---|
2122 | defaultBus (Wire
|
---|
2123 | shape (OrthoPolyLine
|
---|
2124 | va (VaSet
|
---|
2125 | vasetType 3
|
---|
2126 | lineWidth 2
|
---|
2127 | )
|
---|
2128 | pts [
|
---|
2129 | "0,0"
|
---|
2130 | "0,0"
|
---|
2131 | ]
|
---|
2132 | )
|
---|
2133 | ss 0
|
---|
2134 | es 0
|
---|
2135 | sat 32
|
---|
2136 | eat 32
|
---|
2137 | sty 1
|
---|
2138 | st 0
|
---|
2139 | sf 1
|
---|
2140 | si 0
|
---|
2141 | tg (WTG
|
---|
2142 | ps "ConnStartEndStrategy"
|
---|
2143 | stg "STSignalDisplayStrategy"
|
---|
2144 | f (Text
|
---|
2145 | va (VaSet
|
---|
2146 | )
|
---|
2147 | xt "0,0,2400,1000"
|
---|
2148 | st "dbus0"
|
---|
2149 | blo "0,800"
|
---|
2150 | tm "WireNameMgr"
|
---|
2151 | )
|
---|
2152 | )
|
---|
2153 | )
|
---|
2154 | defaultBundle (Bundle
|
---|
2155 | shape (OrthoPolyLine
|
---|
2156 | va (VaSet
|
---|
2157 | vasetType 3
|
---|
2158 | lineColor "32768,0,0"
|
---|
2159 | lineWidth 2
|
---|
2160 | )
|
---|
2161 | pts [
|
---|
2162 | "0,0"
|
---|
2163 | "0,0"
|
---|
2164 | ]
|
---|
2165 | )
|
---|
2166 | ss 0
|
---|
2167 | es 0
|
---|
2168 | sat 32
|
---|
2169 | eat 32
|
---|
2170 | textGroup (BiTextGroup
|
---|
2171 | ps "ConnStartEndStrategy"
|
---|
2172 | stg "VerticalLayoutStrategy"
|
---|
2173 | first (Text
|
---|
2174 | va (VaSet
|
---|
2175 | )
|
---|
2176 | xt "0,0,3000,1000"
|
---|
2177 | st "bundle0"
|
---|
2178 | blo "0,800"
|
---|
2179 | tm "BundleNameMgr"
|
---|
2180 | )
|
---|
2181 | second (MLText
|
---|
2182 | va (VaSet
|
---|
2183 | )
|
---|
2184 | xt "0,1000,1000,2000"
|
---|
2185 | st "()"
|
---|
2186 | tm "BundleContentsMgr"
|
---|
2187 | )
|
---|
2188 | )
|
---|
2189 | bundleNet &0
|
---|
2190 | )
|
---|
2191 | defaultPortMapFrame (PortMapFrame
|
---|
2192 | ps "PortMapFrameStrategy"
|
---|
2193 | shape (RectFrame
|
---|
2194 | va (VaSet
|
---|
2195 | vasetType 1
|
---|
2196 | fg "65535,65535,65535"
|
---|
2197 | lineColor "0,0,32768"
|
---|
2198 | lineWidth 2
|
---|
2199 | )
|
---|
2200 | xt "0,0,10000,12000"
|
---|
2201 | )
|
---|
2202 | portMapText (BiTextGroup
|
---|
2203 | ps "BottomRightOffsetStrategy"
|
---|
2204 | stg "VerticalLayoutStrategy"
|
---|
2205 | first (MLText
|
---|
2206 | va (VaSet
|
---|
2207 | )
|
---|
2208 | )
|
---|
2209 | second (MLText
|
---|
2210 | va (VaSet
|
---|
2211 | )
|
---|
2212 | tm "PortMapTextMgr"
|
---|
2213 | )
|
---|
2214 | )
|
---|
2215 | )
|
---|
2216 | defaultGenFrame (Frame
|
---|
2217 | shape (RectFrame
|
---|
2218 | va (VaSet
|
---|
2219 | vasetType 1
|
---|
2220 | fg "65535,65535,65535"
|
---|
2221 | lineColor "26368,26368,26368"
|
---|
2222 | lineStyle 2
|
---|
2223 | lineWidth 2
|
---|
2224 | )
|
---|
2225 | xt "0,0,20000,20000"
|
---|
2226 | )
|
---|
2227 | title (TextAssociate
|
---|
2228 | ps "TopLeftStrategy"
|
---|
2229 | text (MLText
|
---|
2230 | va (VaSet
|
---|
2231 | )
|
---|
2232 | xt "0,-1100,12600,-100"
|
---|
2233 | st "g0: FOR i IN 0 TO n GENERATE"
|
---|
2234 | tm "FrameTitleTextMgr"
|
---|
2235 | )
|
---|
2236 | )
|
---|
2237 | seqNum (FrameSequenceNumber
|
---|
2238 | ps "TopLeftStrategy"
|
---|
2239 | shape (Rectangle
|
---|
2240 | va (VaSet
|
---|
2241 | vasetType 1
|
---|
2242 | fg "65535,65535,65535"
|
---|
2243 | )
|
---|
2244 | xt "50,50,1250,1450"
|
---|
2245 | )
|
---|
2246 | num (Text
|
---|
2247 | va (VaSet
|
---|
2248 | )
|
---|
2249 | xt "250,250,1050,1250"
|
---|
2250 | st "1"
|
---|
2251 | blo "250,1050"
|
---|
2252 | tm "FrameSeqNumMgr"
|
---|
2253 | )
|
---|
2254 | )
|
---|
2255 | decls (MlTextGroup
|
---|
2256 | ps "BottomRightOffsetStrategy"
|
---|
2257 | stg "VerticalLayoutStrategy"
|
---|
2258 | textVec [
|
---|
2259 | *65 (Text
|
---|
2260 | va (VaSet
|
---|
2261 | font "Arial,8,1"
|
---|
2262 | )
|
---|
2263 | xt "14100,20000,22000,21000"
|
---|
2264 | st "Frame Declarations"
|
---|
2265 | blo "14100,20800"
|
---|
2266 | )
|
---|
2267 | *66 (MLText
|
---|
2268 | va (VaSet
|
---|
2269 | )
|
---|
2270 | xt "14100,21000,14100,21000"
|
---|
2271 | tm "BdFrameDeclTextMgr"
|
---|
2272 | )
|
---|
2273 | ]
|
---|
2274 | )
|
---|
2275 | )
|
---|
2276 | defaultBlockFrame (Frame
|
---|
2277 | shape (RectFrame
|
---|
2278 | va (VaSet
|
---|
2279 | vasetType 1
|
---|
2280 | fg "65535,65535,65535"
|
---|
2281 | lineColor "26368,26368,26368"
|
---|
2282 | lineStyle 1
|
---|
2283 | lineWidth 2
|
---|
2284 | )
|
---|
2285 | xt "0,0,20000,20000"
|
---|
2286 | )
|
---|
2287 | title (TextAssociate
|
---|
2288 | ps "TopLeftStrategy"
|
---|
2289 | text (MLText
|
---|
2290 | va (VaSet
|
---|
2291 | )
|
---|
2292 | xt "0,-1100,7400,-100"
|
---|
2293 | st "b0: BLOCK (guard)"
|
---|
2294 | tm "FrameTitleTextMgr"
|
---|
2295 | )
|
---|
2296 | )
|
---|
2297 | seqNum (FrameSequenceNumber
|
---|
2298 | ps "TopLeftStrategy"
|
---|
2299 | shape (Rectangle
|
---|
2300 | va (VaSet
|
---|
2301 | vasetType 1
|
---|
2302 | fg "65535,65535,65535"
|
---|
2303 | )
|
---|
2304 | xt "50,50,1250,1450"
|
---|
2305 | )
|
---|
2306 | num (Text
|
---|
2307 | va (VaSet
|
---|
2308 | )
|
---|
2309 | xt "250,250,1050,1250"
|
---|
2310 | st "1"
|
---|
2311 | blo "250,1050"
|
---|
2312 | tm "FrameSeqNumMgr"
|
---|
2313 | )
|
---|
2314 | )
|
---|
2315 | decls (MlTextGroup
|
---|
2316 | ps "BottomRightOffsetStrategy"
|
---|
2317 | stg "VerticalLayoutStrategy"
|
---|
2318 | textVec [
|
---|
2319 | *67 (Text
|
---|
2320 | va (VaSet
|
---|
2321 | font "Arial,8,1"
|
---|
2322 | )
|
---|
2323 | xt "14100,20000,22000,21000"
|
---|
2324 | st "Frame Declarations"
|
---|
2325 | blo "14100,20800"
|
---|
2326 | )
|
---|
2327 | *68 (MLText
|
---|
2328 | va (VaSet
|
---|
2329 | )
|
---|
2330 | xt "14100,21000,14100,21000"
|
---|
2331 | tm "BdFrameDeclTextMgr"
|
---|
2332 | )
|
---|
2333 | ]
|
---|
2334 | )
|
---|
2335 | style 3
|
---|
2336 | )
|
---|
2337 | defaultSaCptPort (CptPort
|
---|
2338 | ps "OnEdgeStrategy"
|
---|
2339 | shape (Triangle
|
---|
2340 | ro 90
|
---|
2341 | va (VaSet
|
---|
2342 | vasetType 1
|
---|
2343 | fg "0,65535,0"
|
---|
2344 | )
|
---|
2345 | xt "0,0,750,750"
|
---|
2346 | )
|
---|
2347 | tg (CPTG
|
---|
2348 | ps "CptPortTextPlaceStrategy"
|
---|
2349 | stg "VerticalLayoutStrategy"
|
---|
2350 | f (Text
|
---|
2351 | va (VaSet
|
---|
2352 | )
|
---|
2353 | xt "0,750,1800,1750"
|
---|
2354 | st "Port"
|
---|
2355 | blo "0,1550"
|
---|
2356 | )
|
---|
2357 | )
|
---|
2358 | thePort (LogicalPort
|
---|
2359 | decl (Decl
|
---|
2360 | n "Port"
|
---|
2361 | t ""
|
---|
2362 | o 0
|
---|
2363 | )
|
---|
2364 | )
|
---|
2365 | )
|
---|
2366 | defaultSaCptPortBuffer (CptPort
|
---|
2367 | ps "OnEdgeStrategy"
|
---|
2368 | shape (Diamond
|
---|
2369 | va (VaSet
|
---|
2370 | vasetType 1
|
---|
2371 | fg "65535,65535,65535"
|
---|
2372 | )
|
---|
2373 | xt "0,0,750,750"
|
---|
2374 | )
|
---|
2375 | tg (CPTG
|
---|
2376 | ps "CptPortTextPlaceStrategy"
|
---|
2377 | stg "VerticalLayoutStrategy"
|
---|
2378 | f (Text
|
---|
2379 | va (VaSet
|
---|
2380 | )
|
---|
2381 | xt "0,750,1800,1750"
|
---|
2382 | st "Port"
|
---|
2383 | blo "0,1550"
|
---|
2384 | )
|
---|
2385 | )
|
---|
2386 | thePort (LogicalPort
|
---|
2387 | m 3
|
---|
2388 | decl (Decl
|
---|
2389 | n "Port"
|
---|
2390 | t ""
|
---|
2391 | o 0
|
---|
2392 | )
|
---|
2393 | )
|
---|
2394 | )
|
---|
2395 | defaultDeclText (MLText
|
---|
2396 | va (VaSet
|
---|
2397 | font "Courier New,8,0"
|
---|
2398 | )
|
---|
2399 | )
|
---|
2400 | archDeclarativeBlock (BdArchDeclBlock
|
---|
2401 | uid 1,0
|
---|
2402 | stg "BdArchDeclBlockLS"
|
---|
2403 | declLabel (Text
|
---|
2404 | uid 2,0
|
---|
2405 | va (VaSet
|
---|
2406 | font "Arial,8,1"
|
---|
2407 | )
|
---|
2408 | xt "20000,0,25400,1000"
|
---|
2409 | st "Declarations"
|
---|
2410 | blo "20000,800"
|
---|
2411 | )
|
---|
2412 | portLabel (Text
|
---|
2413 | uid 3,0
|
---|
2414 | va (VaSet
|
---|
2415 | font "Arial,8,1"
|
---|
2416 | )
|
---|
2417 | xt "20000,1000,22700,2000"
|
---|
2418 | st "Ports:"
|
---|
2419 | blo "20000,1800"
|
---|
2420 | )
|
---|
2421 | preUserLabel (Text
|
---|
2422 | uid 4,0
|
---|
2423 | va (VaSet
|
---|
2424 | isHidden 1
|
---|
2425 | font "Arial,8,1"
|
---|
2426 | )
|
---|
2427 | xt "20000,0,23800,1000"
|
---|
2428 | st "Pre User:"
|
---|
2429 | blo "20000,800"
|
---|
2430 | )
|
---|
2431 | preUserText (MLText
|
---|
2432 | uid 5,0
|
---|
2433 | va (VaSet
|
---|
2434 | isHidden 1
|
---|
2435 | font "Courier New,8,0"
|
---|
2436 | )
|
---|
2437 | xt "20000,0,20000,0"
|
---|
2438 | tm "BdDeclarativeTextMgr"
|
---|
2439 | )
|
---|
2440 | diagSignalLabel (Text
|
---|
2441 | uid 6,0
|
---|
2442 | va (VaSet
|
---|
2443 | font "Arial,8,1"
|
---|
2444 | )
|
---|
2445 | xt "20000,2000,27100,3000"
|
---|
2446 | st "Diagram Signals:"
|
---|
2447 | blo "20000,2800"
|
---|
2448 | )
|
---|
2449 | postUserLabel (Text
|
---|
2450 | uid 7,0
|
---|
2451 | va (VaSet
|
---|
2452 | isHidden 1
|
---|
2453 | font "Arial,8,1"
|
---|
2454 | )
|
---|
2455 | xt "20000,0,24700,1000"
|
---|
2456 | st "Post User:"
|
---|
2457 | blo "20000,800"
|
---|
2458 | )
|
---|
2459 | postUserText (MLText
|
---|
2460 | uid 8,0
|
---|
2461 | va (VaSet
|
---|
2462 | isHidden 1
|
---|
2463 | font "Courier New,8,0"
|
---|
2464 | )
|
---|
2465 | xt "20000,0,20000,0"
|
---|
2466 | tm "BdDeclarativeTextMgr"
|
---|
2467 | )
|
---|
2468 | )
|
---|
2469 | commonDM (CommonDM
|
---|
2470 | ldm (LogicalDM
|
---|
2471 | suid 4,0
|
---|
2472 | usingSuid 1
|
---|
2473 | emptyRow *69 (LEmptyRow
|
---|
2474 | )
|
---|
2475 | uid 54,0
|
---|
2476 | optionalChildren [
|
---|
2477 | *70 (RefLabelRowHdr
|
---|
2478 | )
|
---|
2479 | *71 (TitleRowHdr
|
---|
2480 | )
|
---|
2481 | *72 (FilterRowHdr
|
---|
2482 | )
|
---|
2483 | *73 (RefLabelColHdr
|
---|
2484 | tm "RefLabelColHdrMgr"
|
---|
2485 | )
|
---|
2486 | *74 (RowExpandColHdr
|
---|
2487 | tm "RowExpandColHdrMgr"
|
---|
2488 | )
|
---|
2489 | *75 (GroupColHdr
|
---|
2490 | tm "GroupColHdrMgr"
|
---|
2491 | )
|
---|
2492 | *76 (NameColHdr
|
---|
2493 | tm "BlockDiagramNameColHdrMgr"
|
---|
2494 | )
|
---|
2495 | *77 (ModeColHdr
|
---|
2496 | tm "BlockDiagramModeColHdrMgr"
|
---|
2497 | )
|
---|
2498 | *78 (TypeColHdr
|
---|
2499 | tm "BlockDiagramTypeColHdrMgr"
|
---|
2500 | )
|
---|
2501 | *79 (BoundsColHdr
|
---|
2502 | tm "BlockDiagramBoundsColHdrMgr"
|
---|
2503 | )
|
---|
2504 | *80 (InitColHdr
|
---|
2505 | tm "BlockDiagramInitColHdrMgr"
|
---|
2506 | )
|
---|
2507 | *81 (EolColHdr
|
---|
2508 | tm "BlockDiagramEolColHdrMgr"
|
---|
2509 | )
|
---|
2510 | *82 (LeafLogPort
|
---|
2511 | port (LogicalPort
|
---|
2512 | m 4
|
---|
2513 | decl (Decl
|
---|
2514 | n "clk"
|
---|
2515 | t "std_logic"
|
---|
2516 | preAdd 0
|
---|
2517 | posAdd 0
|
---|
2518 | o 1
|
---|
2519 | suid 1,0
|
---|
2520 | i "'0'"
|
---|
2521 | )
|
---|
2522 | )
|
---|
2523 | uid 215,0
|
---|
2524 | )
|
---|
2525 | *83 (LeafLogPort
|
---|
2526 | port (LogicalPort
|
---|
2527 | m 4
|
---|
2528 | decl (Decl
|
---|
2529 | n "data"
|
---|
2530 | t "std_logic_vector"
|
---|
2531 | b "(11 DOWNTO 0)"
|
---|
2532 | preAdd 0
|
---|
2533 | posAdd 0
|
---|
2534 | o 2
|
---|
2535 | suid 2,0
|
---|
2536 | )
|
---|
2537 | )
|
---|
2538 | uid 217,0
|
---|
2539 | )
|
---|
2540 | *84 (LeafLogPort
|
---|
2541 | port (LogicalPort
|
---|
2542 | m 4
|
---|
2543 | decl (Decl
|
---|
2544 | n "otr"
|
---|
2545 | t "std_logic"
|
---|
2546 | preAdd 0
|
---|
2547 | posAdd 0
|
---|
2548 | o 3
|
---|
2549 | suid 3,0
|
---|
2550 | )
|
---|
2551 | )
|
---|
2552 | uid 219,0
|
---|
2553 | )
|
---|
2554 | *85 (LeafLogPort
|
---|
2555 | port (LogicalPort
|
---|
2556 | m 4
|
---|
2557 | decl (Decl
|
---|
2558 | n "oeb"
|
---|
2559 | t "std_logic"
|
---|
2560 | preAdd 0
|
---|
2561 | posAdd 0
|
---|
2562 | o 4
|
---|
2563 | suid 4,0
|
---|
2564 | )
|
---|
2565 | )
|
---|
2566 | uid 221,0
|
---|
2567 | )
|
---|
2568 | ]
|
---|
2569 | )
|
---|
2570 | pdm (PhysicalDM
|
---|
2571 | displayShortBounds 1
|
---|
2572 | editShortBounds 1
|
---|
2573 | uid 67,0
|
---|
2574 | optionalChildren [
|
---|
2575 | *86 (Sheet
|
---|
2576 | sheetRow (SheetRow
|
---|
2577 | headerVa (MVa
|
---|
2578 | cellColor "49152,49152,49152"
|
---|
2579 | fontColor "0,0,0"
|
---|
2580 | font "Tahoma,10,0"
|
---|
2581 | )
|
---|
2582 | cellVa (MVa
|
---|
2583 | cellColor "65535,65535,65535"
|
---|
2584 | fontColor "0,0,0"
|
---|
2585 | font "Tahoma,10,0"
|
---|
2586 | )
|
---|
2587 | groupVa (MVa
|
---|
2588 | cellColor "39936,56832,65280"
|
---|
2589 | fontColor "0,0,0"
|
---|
2590 | font "Tahoma,10,0"
|
---|
2591 | )
|
---|
2592 | emptyMRCItem *87 (MRCItem
|
---|
2593 | litem &69
|
---|
2594 | pos 4
|
---|
2595 | dimension 20
|
---|
2596 | )
|
---|
2597 | uid 69,0
|
---|
2598 | optionalChildren [
|
---|
2599 | *88 (MRCItem
|
---|
2600 | litem &70
|
---|
2601 | pos 0
|
---|
2602 | dimension 20
|
---|
2603 | uid 70,0
|
---|
2604 | )
|
---|
2605 | *89 (MRCItem
|
---|
2606 | litem &71
|
---|
2607 | pos 1
|
---|
2608 | dimension 23
|
---|
2609 | uid 71,0
|
---|
2610 | )
|
---|
2611 | *90 (MRCItem
|
---|
2612 | litem &72
|
---|
2613 | pos 2
|
---|
2614 | hidden 1
|
---|
2615 | dimension 20
|
---|
2616 | uid 72,0
|
---|
2617 | )
|
---|
2618 | *91 (MRCItem
|
---|
2619 | litem &82
|
---|
2620 | pos 0
|
---|
2621 | dimension 20
|
---|
2622 | uid 216,0
|
---|
2623 | )
|
---|
2624 | *92 (MRCItem
|
---|
2625 | litem &83
|
---|
2626 | pos 1
|
---|
2627 | dimension 20
|
---|
2628 | uid 218,0
|
---|
2629 | )
|
---|
2630 | *93 (MRCItem
|
---|
2631 | litem &84
|
---|
2632 | pos 2
|
---|
2633 | dimension 20
|
---|
2634 | uid 220,0
|
---|
2635 | )
|
---|
2636 | *94 (MRCItem
|
---|
2637 | litem &85
|
---|
2638 | pos 3
|
---|
2639 | dimension 20
|
---|
2640 | uid 222,0
|
---|
2641 | )
|
---|
2642 | ]
|
---|
2643 | )
|
---|
2644 | sheetCol (SheetCol
|
---|
2645 | propVa (MVa
|
---|
2646 | cellColor "0,49152,49152"
|
---|
2647 | fontColor "0,0,0"
|
---|
2648 | font "Tahoma,10,0"
|
---|
2649 | textAngle 90
|
---|
2650 | )
|
---|
2651 | uid 73,0
|
---|
2652 | optionalChildren [
|
---|
2653 | *95 (MRCItem
|
---|
2654 | litem &73
|
---|
2655 | pos 0
|
---|
2656 | dimension 20
|
---|
2657 | uid 74,0
|
---|
2658 | )
|
---|
2659 | *96 (MRCItem
|
---|
2660 | litem &75
|
---|
2661 | pos 1
|
---|
2662 | dimension 50
|
---|
2663 | uid 75,0
|
---|
2664 | )
|
---|
2665 | *97 (MRCItem
|
---|
2666 | litem &76
|
---|
2667 | pos 2
|
---|
2668 | dimension 100
|
---|
2669 | uid 76,0
|
---|
2670 | )
|
---|
2671 | *98 (MRCItem
|
---|
2672 | litem &77
|
---|
2673 | pos 3
|
---|
2674 | dimension 50
|
---|
2675 | uid 77,0
|
---|
2676 | )
|
---|
2677 | *99 (MRCItem
|
---|
2678 | litem &78
|
---|
2679 | pos 4
|
---|
2680 | dimension 100
|
---|
2681 | uid 78,0
|
---|
2682 | )
|
---|
2683 | *100 (MRCItem
|
---|
2684 | litem &79
|
---|
2685 | pos 5
|
---|
2686 | dimension 100
|
---|
2687 | uid 79,0
|
---|
2688 | )
|
---|
2689 | *101 (MRCItem
|
---|
2690 | litem &80
|
---|
2691 | pos 6
|
---|
2692 | dimension 50
|
---|
2693 | uid 80,0
|
---|
2694 | )
|
---|
2695 | *102 (MRCItem
|
---|
2696 | litem &81
|
---|
2697 | pos 7
|
---|
2698 | dimension 80
|
---|
2699 | uid 81,0
|
---|
2700 | )
|
---|
2701 | ]
|
---|
2702 | )
|
---|
2703 | fixedCol 4
|
---|
2704 | fixedRow 2
|
---|
2705 | name "Ports"
|
---|
2706 | uid 68,0
|
---|
2707 | vaOverrides [
|
---|
2708 | ]
|
---|
2709 | )
|
---|
2710 | ]
|
---|
2711 | )
|
---|
2712 | uid 53,0
|
---|
2713 | )
|
---|
2714 | genericsCommonDM (CommonDM
|
---|
2715 | ldm (LogicalDM
|
---|
2716 | emptyRow *103 (LEmptyRow
|
---|
2717 | )
|
---|
2718 | uid 83,0
|
---|
2719 | optionalChildren [
|
---|
2720 | *104 (RefLabelRowHdr
|
---|
2721 | )
|
---|
2722 | *105 (TitleRowHdr
|
---|
2723 | )
|
---|
2724 | *106 (FilterRowHdr
|
---|
2725 | )
|
---|
2726 | *107 (RefLabelColHdr
|
---|
2727 | tm "RefLabelColHdrMgr"
|
---|
2728 | )
|
---|
2729 | *108 (RowExpandColHdr
|
---|
2730 | tm "RowExpandColHdrMgr"
|
---|
2731 | )
|
---|
2732 | *109 (GroupColHdr
|
---|
2733 | tm "GroupColHdrMgr"
|
---|
2734 | )
|
---|
2735 | *110 (NameColHdr
|
---|
2736 | tm "GenericNameColHdrMgr"
|
---|
2737 | )
|
---|
2738 | *111 (TypeColHdr
|
---|
2739 | tm "GenericTypeColHdrMgr"
|
---|
2740 | )
|
---|
2741 | *112 (InitColHdr
|
---|
2742 | tm "GenericValueColHdrMgr"
|
---|
2743 | )
|
---|
2744 | *113 (PragmaColHdr
|
---|
2745 | tm "GenericPragmaColHdrMgr"
|
---|
2746 | )
|
---|
2747 | *114 (EolColHdr
|
---|
2748 | tm "GenericEolColHdrMgr"
|
---|
2749 | )
|
---|
2750 | ]
|
---|
2751 | )
|
---|
2752 | pdm (PhysicalDM
|
---|
2753 | displayShortBounds 1
|
---|
2754 | editShortBounds 1
|
---|
2755 | uid 95,0
|
---|
2756 | optionalChildren [
|
---|
2757 | *115 (Sheet
|
---|
2758 | sheetRow (SheetRow
|
---|
2759 | headerVa (MVa
|
---|
2760 | cellColor "49152,49152,49152"
|
---|
2761 | fontColor "0,0,0"
|
---|
2762 | font "Tahoma,10,0"
|
---|
2763 | )
|
---|
2764 | cellVa (MVa
|
---|
2765 | cellColor "65535,65535,65535"
|
---|
2766 | fontColor "0,0,0"
|
---|
2767 | font "Tahoma,10,0"
|
---|
2768 | )
|
---|
2769 | groupVa (MVa
|
---|
2770 | cellColor "39936,56832,65280"
|
---|
2771 | fontColor "0,0,0"
|
---|
2772 | font "Tahoma,10,0"
|
---|
2773 | )
|
---|
2774 | emptyMRCItem *116 (MRCItem
|
---|
2775 | litem &103
|
---|
2776 | pos 0
|
---|
2777 | dimension 20
|
---|
2778 | )
|
---|
2779 | uid 97,0
|
---|
2780 | optionalChildren [
|
---|
2781 | *117 (MRCItem
|
---|
2782 | litem &104
|
---|
2783 | pos 0
|
---|
2784 | dimension 20
|
---|
2785 | uid 98,0
|
---|
2786 | )
|
---|
2787 | *118 (MRCItem
|
---|
2788 | litem &105
|
---|
2789 | pos 1
|
---|
2790 | dimension 23
|
---|
2791 | uid 99,0
|
---|
2792 | )
|
---|
2793 | *119 (MRCItem
|
---|
2794 | litem &106
|
---|
2795 | pos 2
|
---|
2796 | hidden 1
|
---|
2797 | dimension 20
|
---|
2798 | uid 100,0
|
---|
2799 | )
|
---|
2800 | ]
|
---|
2801 | )
|
---|
2802 | sheetCol (SheetCol
|
---|
2803 | propVa (MVa
|
---|
2804 | cellColor "0,49152,49152"
|
---|
2805 | fontColor "0,0,0"
|
---|
2806 | font "Tahoma,10,0"
|
---|
2807 | textAngle 90
|
---|
2808 | )
|
---|
2809 | uid 101,0
|
---|
2810 | optionalChildren [
|
---|
2811 | *120 (MRCItem
|
---|
2812 | litem &107
|
---|
2813 | pos 0
|
---|
2814 | dimension 20
|
---|
2815 | uid 102,0
|
---|
2816 | )
|
---|
2817 | *121 (MRCItem
|
---|
2818 | litem &109
|
---|
2819 | pos 1
|
---|
2820 | dimension 50
|
---|
2821 | uid 103,0
|
---|
2822 | )
|
---|
2823 | *122 (MRCItem
|
---|
2824 | litem &110
|
---|
2825 | pos 2
|
---|
2826 | dimension 100
|
---|
2827 | uid 104,0
|
---|
2828 | )
|
---|
2829 | *123 (MRCItem
|
---|
2830 | litem &111
|
---|
2831 | pos 3
|
---|
2832 | dimension 100
|
---|
2833 | uid 105,0
|
---|
2834 | )
|
---|
2835 | *124 (MRCItem
|
---|
2836 | litem &112
|
---|
2837 | pos 4
|
---|
2838 | dimension 50
|
---|
2839 | uid 106,0
|
---|
2840 | )
|
---|
2841 | *125 (MRCItem
|
---|
2842 | litem &113
|
---|
2843 | pos 5
|
---|
2844 | dimension 50
|
---|
2845 | uid 107,0
|
---|
2846 | )
|
---|
2847 | *126 (MRCItem
|
---|
2848 | litem &114
|
---|
2849 | pos 6
|
---|
2850 | dimension 80
|
---|
2851 | uid 108,0
|
---|
2852 | )
|
---|
2853 | ]
|
---|
2854 | )
|
---|
2855 | fixedCol 3
|
---|
2856 | fixedRow 2
|
---|
2857 | name "Ports"
|
---|
2858 | uid 96,0
|
---|
2859 | vaOverrides [
|
---|
2860 | ]
|
---|
2861 | )
|
---|
2862 | ]
|
---|
2863 | )
|
---|
2864 | uid 82,0
|
---|
2865 | type 1
|
---|
2866 | )
|
---|
2867 | activeModelName "BlockDiag"
|
---|
2868 | )
|
---|