source: firmware/FAD/FACT_FAD_TB_lib/hds/adc_emulator_tb/struct.bd.bak

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 37.7 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13(DmPackageRef
14library "ieee"
15unitName "std_logic_unsigned"
16)
17(DmPackageRef
18library "ieee"
19unitName "std_logic_textio"
20)
21(DmPackageRef
22library "std"
23unitName "textio"
24)
25]
26instances [
27(Instance
28name "I_adcTB_adc"
29duLibraryName "FACT_FAD_TB_lib"
30duName "adc_emulator"
31elements [
32(GiElement
33name "INPUT_FILE"
34type "string"
35value "\"../memory_files/analog_input_ch0.txt\""
36)
37]
38mwi 0
39uid 138,0
40)
41(Instance
42name "I_adcTB_clock"
43duLibraryName "FACT_FAD_TB_lib"
44duName "clock_generator"
45elements [
46(GiElement
47name "clock_period"
48type "time"
49value "20 ns"
50)
51(GiElement
52name "reset_time"
53type "time"
54value "50 ns"
55)
56]
57mwi 0
58uid 175,0
59)
60]
61embeddedInstances [
62(EmbeddedInstance
63name "eb_adcTB_oeb"
64number "1"
65)
66]
67libraryRefs [
68"ieee"
69"std"
70]
71)
72version "29.1"
73appVersion "2009.2 (Build 10)"
74noEmbeddedEditors 1
75model (BlockDiag
76VExpander (VariableExpander
77vvMap [
78(vvPair
79variable "HDLDir"
80value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl"
81)
82(vvPair
83variable "HDSDir"
84value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
85)
86(vvPair
87variable "SideDataDesignDir"
88value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb\\struct.bd.info"
89)
90(vvPair
91variable "SideDataUserDir"
92value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb\\struct.bd.user"
93)
94(vvPair
95variable "SourceDir"
96value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
97)
98(vvPair
99variable "appl"
100value "HDL Designer"
101)
102(vvPair
103variable "arch_name"
104value "struct"
105)
106(vvPair
107variable "config"
108value "%(unit)_config"
109)
110(vvPair
111variable "d"
112value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb"
113)
114(vvPair
115variable "d_logical"
116value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb"
117)
118(vvPair
119variable "date"
120value "23.06.2010"
121)
122(vvPair
123variable "day"
124value "Mi"
125)
126(vvPair
127variable "day_long"
128value "Mittwoch"
129)
130(vvPair
131variable "dd"
132value "23"
133)
134(vvPair
135variable "entity_name"
136value "adc_emulator_tb"
137)
138(vvPair
139variable "ext"
140value "<TBD>"
141)
142(vvPair
143variable "f"
144value "struct.bd"
145)
146(vvPair
147variable "f_logical"
148value "struct.bd"
149)
150(vvPair
151variable "f_noext"
152value "struct"
153)
154(vvPair
155variable "group"
156value "UNKNOWN"
157)
158(vvPair
159variable "host"
160value "EEPC8"
161)
162(vvPair
163variable "language"
164value "VHDL"
165)
166(vvPair
167variable "library"
168value "FACT_FAD_TB_lib"
169)
170(vvPair
171variable "library_downstream_ModelSimCompiler"
172value "$HDS_PROJECT_DIR\\FACT_FAD_TB_lib\\work"
173)
174(vvPair
175variable "mm"
176value "06"
177)
178(vvPair
179variable "module_name"
180value "adc_emulator_tb"
181)
182(vvPair
183variable "month"
184value "Jun"
185)
186(vvPair
187variable "month_long"
188value "Juni"
189)
190(vvPair
191variable "p"
192value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb\\struct.bd"
193)
194(vvPair
195variable "p_logical"
196value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\adc_emulator_tb\\struct.bd"
197)
198(vvPair
199variable "package_name"
200value "<Undefined Variable>"
201)
202(vvPair
203variable "project_name"
204value "FACT_FAD"
205)
206(vvPair
207variable "series"
208value "HDL Designer Series"
209)
210(vvPair
211variable "task_DesignCompilerPath"
212value "<TBD>"
213)
214(vvPair
215variable "task_LeonardoPath"
216value "<TBD>"
217)
218(vvPair
219variable "task_ModelSimPath"
220value "$HDS_HOME/../Modeltech/win32"
221)
222(vvPair
223variable "task_NC-SimPath"
224value "<TBD>"
225)
226(vvPair
227variable "task_PrecisionRTLPath"
228value "$HDS_HOME/../Precision/Mgc_home/bin"
229)
230(vvPair
231variable "task_QuestaSimPath"
232value "<TBD>"
233)
234(vvPair
235variable "task_VCSPath"
236value "<TBD>"
237)
238(vvPair
239variable "this_ext"
240value "bd"
241)
242(vvPair
243variable "this_file"
244value "struct"
245)
246(vvPair
247variable "this_file_logical"
248value "struct"
249)
250(vvPair
251variable "time"
252value "12:01:28"
253)
254(vvPair
255variable "unit"
256value "adc_emulator_tb"
257)
258(vvPair
259variable "user"
260value "Benjamin Krumm"
261)
262(vvPair
263variable "version"
264value "2009.2 (Build 10)"
265)
266(vvPair
267variable "view"
268value "struct"
269)
270(vvPair
271variable "year"
272value "2010"
273)
274(vvPair
275variable "yy"
276value "10"
277)
278]
279)
280LanguageMgr "VhdlLangMgr"
281uid 52,0
282optionalChildren [
283*1 (Grouping
284uid 9,0
285optionalChildren [
286*2 (CommentText
287uid 11,0
288shape (Rectangle
289uid 12,0
290sl 0
291va (VaSet
292vasetType 1
293fg "65280,65280,46080"
294)
295xt "36000,48000,53000,49000"
296)
297oxt "18000,70000,35000,71000"
298text (MLText
299uid 13,0
300va (VaSet
301fg "0,0,32768"
302bg "0,0,32768"
303)
304xt "36200,48000,49200,49000"
305st "
306by %user on %dd %month %year
307"
308tm "CommentText"
309wrapOption 3
310visibleHeight 1000
311visibleWidth 17000
312)
313position 1
314ignorePrefs 1
315titleBlock 1
316)
317*3 (CommentText
318uid 14,0
319shape (Rectangle
320uid 15,0
321sl 0
322va (VaSet
323vasetType 1
324fg "65280,65280,46080"
325)
326xt "53000,44000,57000,45000"
327)
328oxt "35000,66000,39000,67000"
329text (MLText
330uid 16,0
331va (VaSet
332fg "0,0,32768"
333bg "0,0,32768"
334)
335xt "53200,44000,56200,45000"
336st "
337Project:
338"
339tm "CommentText"
340wrapOption 3
341visibleHeight 1000
342visibleWidth 4000
343)
344position 1
345ignorePrefs 1
346titleBlock 1
347)
348*4 (CommentText
349uid 17,0
350shape (Rectangle
351uid 18,0
352sl 0
353va (VaSet
354vasetType 1
355fg "65280,65280,46080"
356)
357xt "36000,46000,53000,47000"
358)
359oxt "18000,68000,35000,69000"
360text (MLText
361uid 19,0
362va (VaSet
363fg "0,0,32768"
364bg "0,0,32768"
365)
366xt "36200,46000,46200,47000"
367st "
368<enter diagram title here>
369"
370tm "CommentText"
371wrapOption 3
372visibleHeight 1000
373visibleWidth 17000
374)
375position 1
376ignorePrefs 1
377titleBlock 1
378)
379*5 (CommentText
380uid 20,0
381shape (Rectangle
382uid 21,0
383sl 0
384va (VaSet
385vasetType 1
386fg "65280,65280,46080"
387)
388xt "32000,46000,36000,47000"
389)
390oxt "14000,68000,18000,69000"
391text (MLText
392uid 22,0
393va (VaSet
394fg "0,0,32768"
395bg "0,0,32768"
396)
397xt "32200,46000,34300,47000"
398st "
399Title:
400"
401tm "CommentText"
402wrapOption 3
403visibleHeight 1000
404visibleWidth 4000
405)
406position 1
407ignorePrefs 1
408titleBlock 1
409)
410*6 (CommentText
411uid 23,0
412shape (Rectangle
413uid 24,0
414sl 0
415va (VaSet
416vasetType 1
417fg "65280,65280,46080"
418)
419xt "53000,45000,73000,49000"
420)
421oxt "35000,67000,55000,71000"
422text (MLText
423uid 25,0
424va (VaSet
425fg "0,0,32768"
426bg "0,0,32768"
427)
428xt "53200,45200,62400,46200"
429st "
430<enter comments here>
431"
432tm "CommentText"
433wrapOption 3
434visibleHeight 4000
435visibleWidth 20000
436)
437ignorePrefs 1
438titleBlock 1
439)
440*7 (CommentText
441uid 26,0
442shape (Rectangle
443uid 27,0
444sl 0
445va (VaSet
446vasetType 1
447fg "65280,65280,46080"
448)
449xt "57000,44000,73000,45000"
450)
451oxt "39000,66000,55000,67000"
452text (MLText
453uid 28,0
454va (VaSet
455fg "0,0,32768"
456bg "0,0,32768"
457)
458xt "57200,44000,61700,45000"
459st "
460%project_name
461"
462tm "CommentText"
463wrapOption 3
464visibleHeight 1000
465visibleWidth 16000
466)
467position 1
468ignorePrefs 1
469titleBlock 1
470)
471*8 (CommentText
472uid 29,0
473shape (Rectangle
474uid 30,0
475sl 0
476va (VaSet
477vasetType 1
478fg "65280,65280,46080"
479)
480xt "32000,44000,53000,46000"
481)
482oxt "14000,66000,35000,68000"
483text (MLText
484uid 31,0
485va (VaSet
486fg "32768,0,0"
487)
488xt "39700,44000,45300,46000"
489st "
490TU Dortmund
491Physik / EE
492"
493ju 0
494tm "CommentText"
495wrapOption 3
496visibleHeight 2000
497visibleWidth 21000
498)
499position 1
500ignorePrefs 1
501titleBlock 1
502)
503*9 (CommentText
504uid 32,0
505shape (Rectangle
506uid 33,0
507sl 0
508va (VaSet
509vasetType 1
510fg "65280,65280,46080"
511)
512xt "32000,47000,36000,48000"
513)
514oxt "14000,69000,18000,70000"
515text (MLText
516uid 34,0
517va (VaSet
518fg "0,0,32768"
519bg "0,0,32768"
520)
521xt "32200,47000,34300,48000"
522st "
523Path:
524"
525tm "CommentText"
526wrapOption 3
527visibleHeight 1000
528visibleWidth 4000
529)
530position 1
531ignorePrefs 1
532titleBlock 1
533)
534*10 (CommentText
535uid 35,0
536shape (Rectangle
537uid 36,0
538sl 0
539va (VaSet
540vasetType 1
541fg "65280,65280,46080"
542)
543xt "32000,48000,36000,49000"
544)
545oxt "14000,70000,18000,71000"
546text (MLText
547uid 37,0
548va (VaSet
549fg "0,0,32768"
550bg "0,0,32768"
551)
552xt "32200,48000,34900,49000"
553st "
554Edited:
555"
556tm "CommentText"
557wrapOption 3
558visibleHeight 1000
559visibleWidth 4000
560)
561position 1
562ignorePrefs 1
563titleBlock 1
564)
565*11 (CommentText
566uid 38,0
567shape (Rectangle
568uid 39,0
569sl 0
570va (VaSet
571vasetType 1
572fg "65280,65280,46080"
573)
574xt "36000,47000,53000,48000"
575)
576oxt "18000,69000,35000,70000"
577text (MLText
578uid 40,0
579va (VaSet
580fg "0,0,32768"
581bg "0,0,32768"
582)
583xt "36200,47000,51900,48000"
584st "
585%library/%unit/%view
586"
587tm "CommentText"
588wrapOption 3
589visibleHeight 1000
590visibleWidth 17000
591)
592position 1
593ignorePrefs 1
594titleBlock 1
595)
596]
597shape (GroupingShape
598uid 10,0
599va (VaSet
600vasetType 1
601fg "65535,65535,65535"
602lineStyle 2
603lineWidth 2
604)
605xt "32000,44000,73000,49000"
606)
607oxt "14000,66000,55000,71000"
608)
609*12 (SaComponent
610uid 138,0
611optionalChildren [
612*13 (CptPort
613uid 148,0
614ps "OnEdgeStrategy"
615shape (Triangle
616uid 149,0
617ro 90
618va (VaSet
619vasetType 1
620fg "0,65535,0"
621)
622xt "31250,20625,32000,21375"
623)
624tg (CPTG
625uid 150,0
626ps "CptPortTextPlaceStrategy"
627stg "VerticalLayoutStrategy"
628f (Text
629uid 151,0
630va (VaSet
631)
632xt "33000,20500,34300,21500"
633st "clk"
634blo "33000,21300"
635)
636)
637thePort (LogicalPort
638decl (Decl
639n "clk"
640t "STD_LOGIC"
641preAdd 0
642posAdd 0
643o 1
644)
645)
646)
647*14 (CptPort
648uid 152,0
649ps "OnEdgeStrategy"
650shape (Triangle
651uid 153,0
652ro 90
653va (VaSet
654vasetType 1
655fg "0,65535,0"
656)
657xt "42000,22625,42750,23375"
658)
659tg (CPTG
660uid 154,0
661ps "CptPortTextPlaceStrategy"
662stg "RightVerticalLayoutStrategy"
663f (Text
664uid 155,0
665va (VaSet
666)
667xt "36200,22500,41000,23500"
668st "data : (11:0)"
669ju 2
670blo "41000,23300"
671)
672)
673thePort (LogicalPort
674m 1
675decl (Decl
676n "data"
677t "STD_LOGIC_VECTOR"
678b "(11 DOWNTO 0)"
679preAdd 0
680posAdd 0
681o 2
682)
683)
684)
685*15 (CptPort
686uid 156,0
687ps "OnEdgeStrategy"
688shape (Triangle
689uid 157,0
690ro 90
691va (VaSet
692vasetType 1
693fg "0,65535,0"
694)
695xt "42000,20625,42750,21375"
696)
697tg (CPTG
698uid 158,0
699ps "CptPortTextPlaceStrategy"
700stg "RightVerticalLayoutStrategy"
701f (Text
702uid 159,0
703va (VaSet
704)
705xt "39700,20500,41000,21500"
706st "otr"
707ju 2
708blo "41000,21300"
709)
710)
711thePort (LogicalPort
712m 1
713decl (Decl
714n "otr"
715t "STD_LOGIC"
716preAdd 0
717posAdd 0
718o 3
719)
720)
721)
722*16 (CptPort
723uid 160,0
724ps "OnEdgeStrategy"
725shape (Triangle
726uid 161,0
727ro 270
728va (VaSet
729vasetType 1
730fg "0,65535,0"
731)
732xt "42000,21625,42750,22375"
733)
734tg (CPTG
735uid 162,0
736ps "CptPortTextPlaceStrategy"
737stg "RightVerticalLayoutStrategy"
738f (Text
739uid 163,0
740va (VaSet
741)
742xt "39400,21500,41000,22500"
743st "oeb"
744ju 2
745blo "41000,22300"
746)
747)
748thePort (LogicalPort
749decl (Decl
750n "oeb"
751t "STD_LOGIC"
752preAdd 0
753posAdd 0
754o 4
755)
756)
757)
758]
759shape (Rectangle
760uid 139,0
761va (VaSet
762vasetType 1
763fg "0,49152,49152"
764lineColor "0,0,50000"
765lineWidth 2
766)
767xt "32000,19000,42000,26000"
768)
769oxt "29000,7000,39000,17000"
770ttg (MlTextGroup
771uid 140,0
772ps "CenterOffsetStrategy"
773stg "VerticalLayoutStrategy"
774textVec [
775*17 (Text
776uid 141,0
777va (VaSet
778font "Arial,8,1"
779)
780xt "32200,26000,39900,27000"
781st "FACT_FAD_TB_lib"
782blo "32200,26800"
783tm "BdLibraryNameMgr"
784)
785*18 (Text
786uid 142,0
787va (VaSet
788font "Arial,8,1"
789)
790xt "32200,27000,38000,28000"
791st "adc_emulator"
792blo "32200,27800"
793tm "CptNameMgr"
794)
795*19 (Text
796uid 143,0
797va (VaSet
798font "Arial,8,1"
799)
800xt "32200,28000,37700,29000"
801st "I_adcTB_adc"
802blo "32200,28800"
803tm "InstanceNameMgr"
804)
805]
806)
807ga (GenericAssociation
808uid 144,0
809ps "EdgeToEdgeStrategy"
810matrix (Matrix
811uid 145,0
812text (MLText
813uid 146,0
814va (VaSet
815font "Courier New,8,0"
816)
817xt "32000,18200,67500,19000"
818st "INPUT_FILE = \"../memory_files/analog_input_ch0.txt\" ( string ) "
819)
820header ""
821)
822elements [
823(GiElement
824name "INPUT_FILE"
825type "string"
826value "\"../memory_files/analog_input_ch0.txt\""
827)
828]
829)
830viewicon (ZoomableIcon
831uid 147,0
832sl 0
833va (VaSet
834vasetType 1
835fg "49152,49152,49152"
836)
837xt "32250,24250,33750,25750"
838iconName "VhdlFileViewIcon.png"
839iconMaskName "VhdlFileViewIcon.msk"
840ftype 10
841)
842ordering 1
843viewiconposition 0
844portVis (PortSigDisplay
845sIVOD 1
846)
847archFileType "UNKNOWN"
848)
849*20 (SaComponent
850uid 175,0
851optionalChildren [
852*21 (CptPort
853uid 164,0
854ps "OnEdgeStrategy"
855shape (Triangle
856uid 165,0
857ro 90
858va (VaSet
859vasetType 1
860fg "0,65535,0"
861)
862xt "22000,20625,22750,21375"
863)
864tg (CPTG
865uid 166,0
866ps "CptPortTextPlaceStrategy"
867stg "RightVerticalLayoutStrategy"
868f (Text
869uid 167,0
870va (VaSet
871)
872xt "19700,20500,21000,21500"
873st "clk"
874ju 2
875blo "21000,21300"
876)
877)
878thePort (LogicalPort
879m 1
880decl (Decl
881n "clk"
882t "std_logic"
883preAdd 0
884posAdd 0
885o 1
886suid 1,0
887i "'0'"
888)
889)
890)
891*22 (CptPort
892uid 168,0
893ps "OnEdgeStrategy"
894shape (Triangle
895uid 169,0
896ro 90
897va (VaSet
898vasetType 1
899fg "0,65535,0"
900)
901xt "22000,21625,22750,22375"
902)
903tg (CPTG
904uid 170,0
905ps "CptPortTextPlaceStrategy"
906stg "RightVerticalLayoutStrategy"
907f (Text
908uid 171,0
909va (VaSet
910)
911xt "19700,21500,21000,22500"
912st "rst"
913ju 2
914blo "21000,22300"
915)
916)
917thePort (LogicalPort
918m 1
919decl (Decl
920n "rst"
921t "std_logic"
922preAdd 0
923posAdd 0
924o 2
925suid 2,0
926i "'0'"
927)
928)
929)
930]
931shape (Rectangle
932uid 176,0
933va (VaSet
934vasetType 1
935fg "0,49152,49152"
936lineColor "0,0,50000"
937lineWidth 2
938)
939xt "14000,19000,22000,24000"
940)
941oxt "22000,15000,30000,19000"
942ttg (MlTextGroup
943uid 177,0
944ps "CenterOffsetStrategy"
945stg "VerticalLayoutStrategy"
946textVec [
947*23 (Text
948uid 178,0
949va (VaSet
950font "Arial,8,1"
951)
952xt "14150,24000,21850,25000"
953st "FACT_FAD_TB_lib"
954blo "14150,24800"
955tm "BdLibraryNameMgr"
956)
957*24 (Text
958uid 179,0
959va (VaSet
960font "Arial,8,1"
961)
962xt "14150,25000,20850,26000"
963st "clock_generator"
964blo "14150,25800"
965tm "CptNameMgr"
966)
967*25 (Text
968uid 180,0
969va (VaSet
970font "Arial,8,1"
971)
972xt "14150,26000,20250,27000"
973st "I_adcTB_clock"
974blo "14150,26800"
975tm "InstanceNameMgr"
976)
977]
978)
979ga (GenericAssociation
980uid 181,0
981ps "EdgeToEdgeStrategy"
982matrix (Matrix
983uid 182,0
984text (MLText
985uid 183,0
986va (VaSet
987font "Courier New,8,0"
988)
989xt "14000,17400,32500,19000"
990st "clock_period = 20 ns ( time )
991reset_time = 50 ns ( time ) "
992)
993header ""
994)
995elements [
996(GiElement
997name "clock_period"
998type "time"
999value "20 ns"
1000)
1001(GiElement
1002name "reset_time"
1003type "time"
1004value "50 ns"
1005)
1006]
1007)
1008viewicon (ZoomableIcon
1009uid 184,0
1010sl 0
1011va (VaSet
1012vasetType 1
1013fg "49152,49152,49152"
1014)
1015xt "14250,22250,15750,23750"
1016iconName "VhdlFileViewIcon.png"
1017iconMaskName "VhdlFileViewIcon.msk"
1018ftype 10
1019)
1020ordering 1
1021viewiconposition 0
1022portVis (PortSigDisplay
1023)
1024archFileType "UNKNOWN"
1025)
1026*26 (Net
1027uid 185,0
1028decl (Decl
1029n "clk"
1030t "std_logic"
1031preAdd 0
1032posAdd 0
1033o 1
1034suid 1,0
1035i "'0'"
1036)
1037declText (MLText
1038uid 186,0
1039va (VaSet
1040font "Courier New,8,0"
1041)
1042xt "22000,3000,38500,3800"
1043st "SIGNAL clk : std_logic := '0'"
1044)
1045)
1046*27 (Net
1047uid 191,0
1048decl (Decl
1049n "data"
1050t "STD_LOGIC_VECTOR"
1051b "(11 DOWNTO 0)"
1052preAdd 0
1053posAdd 0
1054o 2
1055suid 2,0
1056)
1057declText (MLText
1058uid 192,0
1059va (VaSet
1060font "Courier New,8,0"
1061)
1062xt "22000,3800,45500,4600"
1063st "SIGNAL data : STD_LOGIC_VECTOR(11 DOWNTO 0)"
1064)
1065)
1066*28 (Net
1067uid 199,0
1068decl (Decl
1069n "otr"
1070t "STD_LOGIC"
1071preAdd 0
1072posAdd 0
1073o 3
1074suid 3,0
1075)
1076declText (MLText
1077uid 200,0
1078va (VaSet
1079font "Courier New,8,0"
1080)
1081xt "22000,5400,35000,6200"
1082st "SIGNAL otr : STD_LOGIC"
1083)
1084)
1085*29 (Net
1086uid 207,0
1087decl (Decl
1088n "oeb"
1089t "STD_LOGIC"
1090preAdd 0
1091posAdd 0
1092o 4
1093suid 4,0
1094)
1095declText (MLText
1096uid 208,0
1097va (VaSet
1098font "Courier New,8,0"
1099)
1100xt "22000,4600,35000,5400"
1101st "SIGNAL oeb : STD_LOGIC"
1102)
1103)
1104*30 (HdlText
1105uid 223,0
1106optionalChildren [
1107*31 (EmbeddedText
1108uid 229,0
1109commentText (CommentText
1110uid 230,0
1111ps "CenterOffsetStrategy"
1112shape (Rectangle
1113uid 231,0
1114va (VaSet
1115vasetType 1
1116fg "65535,65535,65535"
1117lineColor "0,0,32768"
1118lineWidth 2
1119)
1120xt "54000,25000,67000,35000"
1121)
1122text (MLText
1123uid 232,0
1124va (VaSet
1125)
1126xt "54200,25200,66300,33200"
1127st "
1128-- eb_adcTB_oeb 1: enable ADC
1129enable_proc: process
1130begin
1131 oeb <= '1';
1132 wait for 1 us;
1133 oeb <= '0';
1134 wait;
1135end process enable_proc;
1136
1137"
1138tm "HdlTextMgr"
1139wrapOption 3
1140visibleHeight 10000
1141visibleWidth 13000
1142)
1143)
1144)
1145]
1146shape (Rectangle
1147uid 224,0
1148va (VaSet
1149vasetType 1
1150fg "65535,65535,37120"
1151lineColor "0,0,32768"
1152lineWidth 2
1153)
1154xt "54000,19000,62000,25000"
1155)
1156ttg (MlTextGroup
1157uid 225,0
1158ps "CenterOffsetStrategy"
1159stg "VerticalLayoutStrategy"
1160textVec [
1161*32 (Text
1162uid 226,0
1163va (VaSet
1164font "Arial,8,1"
1165)
1166xt "55150,20000,61450,21000"
1167st "eb_adcTB_oeb"
1168blo "55150,20800"
1169tm "HdlTextNameMgr"
1170)
1171*33 (Text
1172uid 227,0
1173va (VaSet
1174font "Arial,8,1"
1175)
1176xt "55150,21000,55950,22000"
1177st "1"
1178blo "55150,21800"
1179tm "HdlTextNumberMgr"
1180)
1181]
1182)
1183viewicon (ZoomableIcon
1184uid 228,0
1185sl 0
1186va (VaSet
1187vasetType 1
1188fg "49152,49152,49152"
1189)
1190xt "54250,23250,55750,24750"
1191iconName "TextFile.png"
1192iconMaskName "TextFile.msk"
1193ftype 21
1194)
1195viewiconposition 0
1196)
1197*34 (Wire
1198uid 187,0
1199shape (OrthoPolyLine
1200uid 188,0
1201va (VaSet
1202vasetType 3
1203)
1204xt "22750,21000,31250,21000"
1205pts [
1206"22750,21000"
1207"31250,21000"
1208]
1209)
1210start &21
1211end &13
1212sat 32
1213eat 32
1214st 0
1215sf 1
1216si 0
1217tg (WTG
1218uid 189,0
1219ps "ConnStartEndStrategy"
1220stg "STSignalDisplayStrategy"
1221f (Text
1222uid 190,0
1223va (VaSet
1224)
1225xt "26000,20000,27300,21000"
1226st "clk"
1227blo "26000,20800"
1228tm "WireNameMgr"
1229)
1230)
1231on &26
1232)
1233*35 (Wire
1234uid 193,0
1235shape (OrthoPolyLine
1236uid 194,0
1237va (VaSet
1238vasetType 3
1239lineWidth 2
1240)
1241xt "42750,23000,50000,23000"
1242pts [
1243"42750,23000"
1244"50000,23000"
1245]
1246)
1247start &14
1248sat 32
1249eat 16
1250sty 1
1251st 0
1252sf 1
1253tg (WTG
1254uid 197,0
1255ps "ConnStartEndStrategy"
1256stg "STSignalDisplayStrategy"
1257f (Text
1258uid 198,0
1259va (VaSet
1260)
1261xt "44000,22000,48800,23000"
1262st "data : (11:0)"
1263blo "44000,22800"
1264tm "WireNameMgr"
1265)
1266)
1267on &27
1268)
1269*36 (Wire
1270uid 201,0
1271shape (OrthoPolyLine
1272uid 202,0
1273va (VaSet
1274vasetType 3
1275)
1276xt "42750,21000,50000,21000"
1277pts [
1278"42750,21000"
1279"50000,21000"
1280]
1281)
1282start &15
1283sat 32
1284eat 16
1285st 0
1286sf 1
1287tg (WTG
1288uid 205,0
1289ps "ConnStartEndStrategy"
1290stg "STSignalDisplayStrategy"
1291f (Text
1292uid 206,0
1293va (VaSet
1294)
1295xt "44000,20000,45300,21000"
1296st "otr"
1297blo "44000,20800"
1298tm "WireNameMgr"
1299)
1300)
1301on &28
1302)
1303*37 (Wire
1304uid 209,0
1305shape (OrthoPolyLine
1306uid 210,0
1307va (VaSet
1308vasetType 3
1309)
1310xt "42750,22000,54000,22000"
1311pts [
1312"54000,22000"
1313"42750,22000"
1314]
1315)
1316start &30
1317end &16
1318sat 2
1319eat 32
1320st 0
1321sf 1
1322tg (WTG
1323uid 213,0
1324ps "ConnStartEndStrategy"
1325stg "STSignalDisplayStrategy"
1326f (Text
1327uid 214,0
1328va (VaSet
1329)
1330xt "44000,21000,45600,22000"
1331st "oeb"
1332blo "44000,21800"
1333tm "WireNameMgr"
1334)
1335)
1336on &29
1337)
1338]
1339bg "65535,65535,65535"
1340grid (Grid
1341origin "0,0"
1342isVisible 1
1343isActive 1
1344xSpacing 1000
1345xySpacing 1000
1346xShown 1
1347yShown 1
1348color "26368,26368,26368"
1349)
1350packageList *38 (PackageList
1351uid 41,0
1352stg "VerticalLayoutStrategy"
1353textVec [
1354*39 (Text
1355uid 42,0
1356va (VaSet
1357font "arial,8,1"
1358)
1359xt "0,0,5400,1000"
1360st "Package List"
1361blo "0,800"
1362)
1363*40 (MLText
1364uid 43,0
1365va (VaSet
1366)
1367xt "0,1000,12400,8000"
1368st "LIBRARY ieee;
1369USE ieee.std_logic_1164.all;
1370USE ieee.std_logic_arith.all;
1371USE ieee.std_logic_unsigned.all;
1372USE ieee.std_logic_textio.all;
1373LIBRARY std;
1374USE std.textio.all;"
1375tm "PackageList"
1376)
1377]
1378)
1379compDirBlock (MlTextGroup
1380uid 44,0
1381stg "VerticalLayoutStrategy"
1382textVec [
1383*41 (Text
1384uid 45,0
1385va (VaSet
1386isHidden 1
1387font "Arial,8,1"
1388)
1389xt "20000,0,28100,1000"
1390st "Compiler Directives"
1391blo "20000,800"
1392)
1393*42 (Text
1394uid 46,0
1395va (VaSet
1396isHidden 1
1397font "Arial,8,1"
1398)
1399xt "20000,1000,29600,2000"
1400st "Pre-module directives:"
1401blo "20000,1800"
1402)
1403*43 (MLText
1404uid 47,0
1405va (VaSet
1406isHidden 1
1407)
1408xt "20000,2000,27500,4000"
1409st "`resetall
1410`timescale 1ns/10ps"
1411tm "BdCompilerDirectivesTextMgr"
1412)
1413*44 (Text
1414uid 48,0
1415va (VaSet
1416isHidden 1
1417font "Arial,8,1"
1418)
1419xt "20000,4000,30100,5000"
1420st "Post-module directives:"
1421blo "20000,4800"
1422)
1423*45 (MLText
1424uid 49,0
1425va (VaSet
1426isHidden 1
1427)
1428xt "20000,0,20000,0"
1429tm "BdCompilerDirectivesTextMgr"
1430)
1431*46 (Text
1432uid 50,0
1433va (VaSet
1434isHidden 1
1435font "Arial,8,1"
1436)
1437xt "20000,5000,29900,6000"
1438st "End-module directives:"
1439blo "20000,5800"
1440)
1441*47 (MLText
1442uid 51,0
1443va (VaSet
1444isHidden 1
1445)
1446xt "20000,6000,20000,6000"
1447tm "BdCompilerDirectivesTextMgr"
1448)
1449]
1450associable 1
1451)
1452windowSize "-4,-4,1284,998"
1453viewArea "9752,-7544,88357,53176"
1454cachedDiagramExtent "0,0,73000,49000"
1455hasePageBreakOrigin 1
1456pageBreakOrigin "0,0"
1457lastUid 232,0
1458defaultCommentText (CommentText
1459shape (Rectangle
1460layer 0
1461va (VaSet
1462vasetType 1
1463fg "65280,65280,46080"
1464lineColor "0,0,32768"
1465)
1466xt "0,0,15000,5000"
1467)
1468text (MLText
1469va (VaSet
1470fg "0,0,32768"
1471)
1472xt "200,200,2000,1200"
1473st "
1474Text
1475"
1476tm "CommentText"
1477wrapOption 3
1478visibleHeight 4600
1479visibleWidth 14600
1480)
1481)
1482defaultPanel (Panel
1483shape (RectFrame
1484va (VaSet
1485vasetType 1
1486fg "65535,65535,65535"
1487lineColor "32768,0,0"
1488lineWidth 2
1489)
1490xt "0,0,20000,20000"
1491)
1492title (TextAssociate
1493ps "TopLeftStrategy"
1494text (Text
1495va (VaSet
1496font "Arial,8,1"
1497)
1498xt "1000,1000,3800,2000"
1499st "Panel0"
1500blo "1000,1800"
1501tm "PanelText"
1502)
1503)
1504)
1505defaultBlk (Blk
1506shape (Rectangle
1507va (VaSet
1508vasetType 1
1509fg "39936,56832,65280"
1510lineColor "0,0,32768"
1511lineWidth 2
1512)
1513xt "0,0,8000,10000"
1514)
1515ttg (MlTextGroup
1516ps "CenterOffsetStrategy"
1517stg "VerticalLayoutStrategy"
1518textVec [
1519*48 (Text
1520va (VaSet
1521font "Arial,8,1"
1522)
1523xt "2200,3500,5800,4500"
1524st "<library>"
1525blo "2200,4300"
1526tm "BdLibraryNameMgr"
1527)
1528*49 (Text
1529va (VaSet
1530font "Arial,8,1"
1531)
1532xt "2200,4500,5600,5500"
1533st "<block>"
1534blo "2200,5300"
1535tm "BlkNameMgr"
1536)
1537*50 (Text
1538va (VaSet
1539font "Arial,8,1"
1540)
1541xt "2200,5500,3200,6500"
1542st "I0"
1543blo "2200,6300"
1544tm "InstanceNameMgr"
1545)
1546]
1547)
1548ga (GenericAssociation
1549ps "EdgeToEdgeStrategy"
1550matrix (Matrix
1551text (MLText
1552va (VaSet
1553font "Courier New,8,0"
1554)
1555xt "2200,13500,2200,13500"
1556)
1557header ""
1558)
1559elements [
1560]
1561)
1562viewicon (ZoomableIcon
1563sl 0
1564va (VaSet
1565vasetType 1
1566fg "49152,49152,49152"
1567)
1568xt "0,0,1500,1500"
1569iconName "UnknownFile.png"
1570iconMaskName "UnknownFile.msk"
1571)
1572viewiconposition 0
1573)
1574defaultMWComponent (MWC
1575shape (Rectangle
1576va (VaSet
1577vasetType 1
1578fg "0,65535,0"
1579lineColor "0,32896,0"
1580lineWidth 2
1581)
1582xt "0,0,8000,10000"
1583)
1584ttg (MlTextGroup
1585ps "CenterOffsetStrategy"
1586stg "VerticalLayoutStrategy"
1587textVec [
1588*51 (Text
1589va (VaSet
1590font "Arial,8,1"
1591)
1592xt "550,3500,3450,4500"
1593st "Library"
1594blo "550,4300"
1595)
1596*52 (Text
1597va (VaSet
1598font "Arial,8,1"
1599)
1600xt "550,4500,7450,5500"
1601st "MWComponent"
1602blo "550,5300"
1603)
1604*53 (Text
1605va (VaSet
1606font "Arial,8,1"
1607)
1608xt "550,5500,1550,6500"
1609st "I0"
1610blo "550,6300"
1611tm "InstanceNameMgr"
1612)
1613]
1614)
1615ga (GenericAssociation
1616ps "EdgeToEdgeStrategy"
1617matrix (Matrix
1618text (MLText
1619va (VaSet
1620font "Courier New,8,0"
1621)
1622xt "-6450,1500,-6450,1500"
1623)
1624header ""
1625)
1626elements [
1627]
1628)
1629portVis (PortSigDisplay
1630)
1631prms (Property
1632pclass "params"
1633pname "params"
1634ptn "String"
1635)
1636visOptions (mwParamsVisibilityOptions
1637)
1638)
1639defaultSaComponent (SaComponent
1640shape (Rectangle
1641va (VaSet
1642vasetType 1
1643fg "0,65535,0"
1644lineColor "0,32896,0"
1645lineWidth 2
1646)
1647xt "0,0,8000,10000"
1648)
1649ttg (MlTextGroup
1650ps "CenterOffsetStrategy"
1651stg "VerticalLayoutStrategy"
1652textVec [
1653*54 (Text
1654va (VaSet
1655font "Arial,8,1"
1656)
1657xt "900,3500,3800,4500"
1658st "Library"
1659blo "900,4300"
1660tm "BdLibraryNameMgr"
1661)
1662*55 (Text
1663va (VaSet
1664font "Arial,8,1"
1665)
1666xt "900,4500,7100,5500"
1667st "SaComponent"
1668blo "900,5300"
1669tm "CptNameMgr"
1670)
1671*56 (Text
1672va (VaSet
1673font "Arial,8,1"
1674)
1675xt "900,5500,1900,6500"
1676st "I0"
1677blo "900,6300"
1678tm "InstanceNameMgr"
1679)
1680]
1681)
1682ga (GenericAssociation
1683ps "EdgeToEdgeStrategy"
1684matrix (Matrix
1685text (MLText
1686va (VaSet
1687font "Courier New,8,0"
1688)
1689xt "-6100,1500,-6100,1500"
1690)
1691header ""
1692)
1693elements [
1694]
1695)
1696viewicon (ZoomableIcon
1697sl 0
1698va (VaSet
1699vasetType 1
1700fg "49152,49152,49152"
1701)
1702xt "0,0,1500,1500"
1703iconName "UnknownFile.png"
1704iconMaskName "UnknownFile.msk"
1705)
1706viewiconposition 0
1707portVis (PortSigDisplay
1708)
1709archFileType "UNKNOWN"
1710)
1711defaultVhdlComponent (VhdlComponent
1712shape (Rectangle
1713va (VaSet
1714vasetType 1
1715fg "0,65535,0"
1716lineColor "0,32896,0"
1717lineWidth 2
1718)
1719xt "0,0,8000,10000"
1720)
1721ttg (MlTextGroup
1722ps "CenterOffsetStrategy"
1723stg "VerticalLayoutStrategy"
1724textVec [
1725*57 (Text
1726va (VaSet
1727font "Arial,8,1"
1728)
1729xt "500,3500,3400,4500"
1730st "Library"
1731blo "500,4300"
1732)
1733*58 (Text
1734va (VaSet
1735font "Arial,8,1"
1736)
1737xt "500,4500,7500,5500"
1738st "VhdlComponent"
1739blo "500,5300"
1740)
1741*59 (Text
1742va (VaSet
1743font "Arial,8,1"
1744)
1745xt "500,5500,1500,6500"
1746st "I0"
1747blo "500,6300"
1748tm "InstanceNameMgr"
1749)
1750]
1751)
1752ga (GenericAssociation
1753ps "EdgeToEdgeStrategy"
1754matrix (Matrix
1755text (MLText
1756va (VaSet
1757font "Courier New,8,0"
1758)
1759xt "-6500,1500,-6500,1500"
1760)
1761header ""
1762)
1763elements [
1764]
1765)
1766portVis (PortSigDisplay
1767)
1768entityPath ""
1769archName ""
1770archPath ""
1771)
1772defaultVerilogComponent (VerilogComponent
1773shape (Rectangle
1774va (VaSet
1775vasetType 1
1776fg "0,65535,0"
1777lineColor "0,32896,0"
1778lineWidth 2
1779)
1780xt "-450,0,8450,10000"
1781)
1782ttg (MlTextGroup
1783ps "CenterOffsetStrategy"
1784stg "VerticalLayoutStrategy"
1785textVec [
1786*60 (Text
1787va (VaSet
1788font "Arial,8,1"
1789)
1790xt "50,3500,2950,4500"
1791st "Library"
1792blo "50,4300"
1793)
1794*61 (Text
1795va (VaSet
1796font "Arial,8,1"
1797)
1798xt "50,4500,7950,5500"
1799st "VerilogComponent"
1800blo "50,5300"
1801)
1802*62 (Text
1803va (VaSet
1804font "Arial,8,1"
1805)
1806xt "50,5500,1050,6500"
1807st "I0"
1808blo "50,6300"
1809tm "InstanceNameMgr"
1810)
1811]
1812)
1813ga (GenericAssociation
1814ps "EdgeToEdgeStrategy"
1815matrix (Matrix
1816text (MLText
1817va (VaSet
1818font "Courier New,8,0"
1819)
1820xt "-6950,1500,-6950,1500"
1821)
1822header ""
1823)
1824elements [
1825]
1826)
1827entityPath ""
1828)
1829defaultHdlText (HdlText
1830shape (Rectangle
1831va (VaSet
1832vasetType 1
1833fg "65535,65535,37120"
1834lineColor "0,0,32768"
1835lineWidth 2
1836)
1837xt "0,0,8000,10000"
1838)
1839ttg (MlTextGroup
1840ps "CenterOffsetStrategy"
1841stg "VerticalLayoutStrategy"
1842textVec [
1843*63 (Text
1844va (VaSet
1845font "Arial,8,1"
1846)
1847xt "3150,4000,4850,5000"
1848st "eb1"
1849blo "3150,4800"
1850tm "HdlTextNameMgr"
1851)
1852*64 (Text
1853va (VaSet
1854font "Arial,8,1"
1855)
1856xt "3150,5000,3950,6000"
1857st "1"
1858blo "3150,5800"
1859tm "HdlTextNumberMgr"
1860)
1861]
1862)
1863viewicon (ZoomableIcon
1864sl 0
1865va (VaSet
1866vasetType 1
1867fg "49152,49152,49152"
1868)
1869xt "0,0,1500,1500"
1870iconName "UnknownFile.png"
1871iconMaskName "UnknownFile.msk"
1872)
1873viewiconposition 0
1874)
1875defaultEmbeddedText (EmbeddedText
1876commentText (CommentText
1877ps "CenterOffsetStrategy"
1878shape (Rectangle
1879va (VaSet
1880vasetType 1
1881fg "65535,65535,65535"
1882lineColor "0,0,32768"
1883lineWidth 2
1884)
1885xt "0,0,18000,5000"
1886)
1887text (MLText
1888va (VaSet
1889)
1890xt "200,200,2000,1200"
1891st "
1892Text
1893"
1894tm "HdlTextMgr"
1895wrapOption 3
1896visibleHeight 4600
1897visibleWidth 17600
1898)
1899)
1900)
1901defaultGlobalConnector (GlobalConnector
1902shape (Circle
1903va (VaSet
1904vasetType 1
1905fg "65535,65535,0"
1906)
1907xt "-1000,-1000,1000,1000"
1908radius 1000
1909)
1910name (Text
1911va (VaSet
1912font "Arial,8,1"
1913)
1914xt "-500,-500,500,500"
1915st "G"
1916blo "-500,300"
1917)
1918)
1919defaultRipper (Ripper
1920ps "OnConnectorStrategy"
1921shape (Line2D
1922pts [
1923"0,0"
1924"1000,1000"
1925]
1926va (VaSet
1927vasetType 1
1928)
1929xt "0,0,1000,1000"
1930)
1931)
1932defaultBdJunction (BdJunction
1933ps "OnConnectorStrategy"
1934shape (Circle
1935va (VaSet
1936vasetType 1
1937)
1938xt "-400,-400,400,400"
1939radius 400
1940)
1941)
1942defaultPortIoIn (PortIoIn
1943shape (CompositeShape
1944va (VaSet
1945vasetType 1
1946fg "0,0,32768"
1947)
1948optionalChildren [
1949(Pentagon
1950sl 0
1951ro 270
1952xt "-2000,-375,-500,375"
1953)
1954(Line
1955sl 0
1956ro 270
1957xt "-500,0,0,0"
1958pts [
1959"-500,0"
1960"0,0"
1961]
1962)
1963]
1964)
1965stc 0
1966sf 1
1967tg (WTG
1968ps "PortIoTextPlaceStrategy"
1969stg "STSignalDisplayStrategy"
1970f (Text
1971va (VaSet
1972)
1973xt "-1375,-1000,-1375,-1000"
1974ju 2
1975blo "-1375,-1000"
1976tm "WireNameMgr"
1977)
1978)
1979)
1980defaultPortIoOut (PortIoOut
1981shape (CompositeShape
1982va (VaSet
1983vasetType 1
1984fg "0,0,32768"
1985)
1986optionalChildren [
1987(Pentagon
1988sl 0
1989ro 270
1990xt "500,-375,2000,375"
1991)
1992(Line
1993sl 0
1994ro 270
1995xt "0,0,500,0"
1996pts [
1997"0,0"
1998"500,0"
1999]
2000)
2001]
2002)
2003stc 0
2004sf 1
2005tg (WTG
2006ps "PortIoTextPlaceStrategy"
2007stg "STSignalDisplayStrategy"
2008f (Text
2009va (VaSet
2010)
2011xt "625,-1000,625,-1000"
2012blo "625,-1000"
2013tm "WireNameMgr"
2014)
2015)
2016)
2017defaultPortIoInOut (PortIoInOut
2018shape (CompositeShape
2019va (VaSet
2020vasetType 1
2021fg "0,0,32768"
2022)
2023optionalChildren [
2024(Hexagon
2025sl 0
2026xt "500,-375,2000,375"
2027)
2028(Line
2029sl 0
2030xt "0,0,500,0"
2031pts [
2032"0,0"
2033"500,0"
2034]
2035)
2036]
2037)
2038stc 0
2039sf 1
2040tg (WTG
2041ps "PortIoTextPlaceStrategy"
2042stg "STSignalDisplayStrategy"
2043f (Text
2044va (VaSet
2045)
2046xt "0,-375,0,-375"
2047blo "0,-375"
2048tm "WireNameMgr"
2049)
2050)
2051)
2052defaultPortIoBuffer (PortIoBuffer
2053shape (CompositeShape
2054va (VaSet
2055vasetType 1
2056fg "65535,65535,65535"
2057lineColor "0,0,32768"
2058)
2059optionalChildren [
2060(Hexagon
2061sl 0
2062xt "500,-375,2000,375"
2063)
2064(Line
2065sl 0
2066xt "0,0,500,0"
2067pts [
2068"0,0"
2069"500,0"
2070]
2071)
2072]
2073)
2074stc 0
2075sf 1
2076tg (WTG
2077ps "PortIoTextPlaceStrategy"
2078stg "STSignalDisplayStrategy"
2079f (Text
2080va (VaSet
2081)
2082xt "0,-375,0,-375"
2083blo "0,-375"
2084tm "WireNameMgr"
2085)
2086)
2087)
2088defaultSignal (Wire
2089shape (OrthoPolyLine
2090va (VaSet
2091vasetType 3
2092)
2093pts [
2094"0,0"
2095"0,0"
2096]
2097)
2098ss 0
2099es 0
2100sat 32
2101eat 32
2102st 0
2103sf 1
2104si 0
2105tg (WTG
2106ps "ConnStartEndStrategy"
2107stg "STSignalDisplayStrategy"
2108f (Text
2109va (VaSet
2110)
2111xt "0,0,1900,1000"
2112st "sig0"
2113blo "0,800"
2114tm "WireNameMgr"
2115)
2116)
2117)
2118defaultBus (Wire
2119shape (OrthoPolyLine
2120va (VaSet
2121vasetType 3
2122lineWidth 2
2123)
2124pts [
2125"0,0"
2126"0,0"
2127]
2128)
2129ss 0
2130es 0
2131sat 32
2132eat 32
2133sty 1
2134st 0
2135sf 1
2136si 0
2137tg (WTG
2138ps "ConnStartEndStrategy"
2139stg "STSignalDisplayStrategy"
2140f (Text
2141va (VaSet
2142)
2143xt "0,0,2400,1000"
2144st "dbus0"
2145blo "0,800"
2146tm "WireNameMgr"
2147)
2148)
2149)
2150defaultBundle (Bundle
2151shape (OrthoPolyLine
2152va (VaSet
2153vasetType 3
2154lineColor "32768,0,0"
2155lineWidth 2
2156)
2157pts [
2158"0,0"
2159"0,0"
2160]
2161)
2162ss 0
2163es 0
2164sat 32
2165eat 32
2166textGroup (BiTextGroup
2167ps "ConnStartEndStrategy"
2168stg "VerticalLayoutStrategy"
2169first (Text
2170va (VaSet
2171)
2172xt "0,0,3000,1000"
2173st "bundle0"
2174blo "0,800"
2175tm "BundleNameMgr"
2176)
2177second (MLText
2178va (VaSet
2179)
2180xt "0,1000,1000,2000"
2181st "()"
2182tm "BundleContentsMgr"
2183)
2184)
2185bundleNet &0
2186)
2187defaultPortMapFrame (PortMapFrame
2188ps "PortMapFrameStrategy"
2189shape (RectFrame
2190va (VaSet
2191vasetType 1
2192fg "65535,65535,65535"
2193lineColor "0,0,32768"
2194lineWidth 2
2195)
2196xt "0,0,10000,12000"
2197)
2198portMapText (BiTextGroup
2199ps "BottomRightOffsetStrategy"
2200stg "VerticalLayoutStrategy"
2201first (MLText
2202va (VaSet
2203)
2204)
2205second (MLText
2206va (VaSet
2207)
2208tm "PortMapTextMgr"
2209)
2210)
2211)
2212defaultGenFrame (Frame
2213shape (RectFrame
2214va (VaSet
2215vasetType 1
2216fg "65535,65535,65535"
2217lineColor "26368,26368,26368"
2218lineStyle 2
2219lineWidth 2
2220)
2221xt "0,0,20000,20000"
2222)
2223title (TextAssociate
2224ps "TopLeftStrategy"
2225text (MLText
2226va (VaSet
2227)
2228xt "0,-1100,12600,-100"
2229st "g0: FOR i IN 0 TO n GENERATE"
2230tm "FrameTitleTextMgr"
2231)
2232)
2233seqNum (FrameSequenceNumber
2234ps "TopLeftStrategy"
2235shape (Rectangle
2236va (VaSet
2237vasetType 1
2238fg "65535,65535,65535"
2239)
2240xt "50,50,1250,1450"
2241)
2242num (Text
2243va (VaSet
2244)
2245xt "250,250,1050,1250"
2246st "1"
2247blo "250,1050"
2248tm "FrameSeqNumMgr"
2249)
2250)
2251decls (MlTextGroup
2252ps "BottomRightOffsetStrategy"
2253stg "VerticalLayoutStrategy"
2254textVec [
2255*65 (Text
2256va (VaSet
2257font "Arial,8,1"
2258)
2259xt "14100,20000,22000,21000"
2260st "Frame Declarations"
2261blo "14100,20800"
2262)
2263*66 (MLText
2264va (VaSet
2265)
2266xt "14100,21000,14100,21000"
2267tm "BdFrameDeclTextMgr"
2268)
2269]
2270)
2271)
2272defaultBlockFrame (Frame
2273shape (RectFrame
2274va (VaSet
2275vasetType 1
2276fg "65535,65535,65535"
2277lineColor "26368,26368,26368"
2278lineStyle 1
2279lineWidth 2
2280)
2281xt "0,0,20000,20000"
2282)
2283title (TextAssociate
2284ps "TopLeftStrategy"
2285text (MLText
2286va (VaSet
2287)
2288xt "0,-1100,7400,-100"
2289st "b0: BLOCK (guard)"
2290tm "FrameTitleTextMgr"
2291)
2292)
2293seqNum (FrameSequenceNumber
2294ps "TopLeftStrategy"
2295shape (Rectangle
2296va (VaSet
2297vasetType 1
2298fg "65535,65535,65535"
2299)
2300xt "50,50,1250,1450"
2301)
2302num (Text
2303va (VaSet
2304)
2305xt "250,250,1050,1250"
2306st "1"
2307blo "250,1050"
2308tm "FrameSeqNumMgr"
2309)
2310)
2311decls (MlTextGroup
2312ps "BottomRightOffsetStrategy"
2313stg "VerticalLayoutStrategy"
2314textVec [
2315*67 (Text
2316va (VaSet
2317font "Arial,8,1"
2318)
2319xt "14100,20000,22000,21000"
2320st "Frame Declarations"
2321blo "14100,20800"
2322)
2323*68 (MLText
2324va (VaSet
2325)
2326xt "14100,21000,14100,21000"
2327tm "BdFrameDeclTextMgr"
2328)
2329]
2330)
2331style 3
2332)
2333defaultSaCptPort (CptPort
2334ps "OnEdgeStrategy"
2335shape (Triangle
2336ro 90
2337va (VaSet
2338vasetType 1
2339fg "0,65535,0"
2340)
2341xt "0,0,750,750"
2342)
2343tg (CPTG
2344ps "CptPortTextPlaceStrategy"
2345stg "VerticalLayoutStrategy"
2346f (Text
2347va (VaSet
2348)
2349xt "0,750,1800,1750"
2350st "Port"
2351blo "0,1550"
2352)
2353)
2354thePort (LogicalPort
2355decl (Decl
2356n "Port"
2357t ""
2358o 0
2359)
2360)
2361)
2362defaultSaCptPortBuffer (CptPort
2363ps "OnEdgeStrategy"
2364shape (Diamond
2365va (VaSet
2366vasetType 1
2367fg "65535,65535,65535"
2368)
2369xt "0,0,750,750"
2370)
2371tg (CPTG
2372ps "CptPortTextPlaceStrategy"
2373stg "VerticalLayoutStrategy"
2374f (Text
2375va (VaSet
2376)
2377xt "0,750,1800,1750"
2378st "Port"
2379blo "0,1550"
2380)
2381)
2382thePort (LogicalPort
2383m 3
2384decl (Decl
2385n "Port"
2386t ""
2387o 0
2388)
2389)
2390)
2391defaultDeclText (MLText
2392va (VaSet
2393font "Courier New,8,0"
2394)
2395)
2396archDeclarativeBlock (BdArchDeclBlock
2397uid 1,0
2398stg "BdArchDeclBlockLS"
2399declLabel (Text
2400uid 2,0
2401va (VaSet
2402font "Arial,8,1"
2403)
2404xt "20000,0,25400,1000"
2405st "Declarations"
2406blo "20000,800"
2407)
2408portLabel (Text
2409uid 3,0
2410va (VaSet
2411font "Arial,8,1"
2412)
2413xt "20000,1000,22700,2000"
2414st "Ports:"
2415blo "20000,1800"
2416)
2417preUserLabel (Text
2418uid 4,0
2419va (VaSet
2420isHidden 1
2421font "Arial,8,1"
2422)
2423xt "20000,0,23800,1000"
2424st "Pre User:"
2425blo "20000,800"
2426)
2427preUserText (MLText
2428uid 5,0
2429va (VaSet
2430isHidden 1
2431font "Courier New,8,0"
2432)
2433xt "20000,0,20000,0"
2434tm "BdDeclarativeTextMgr"
2435)
2436diagSignalLabel (Text
2437uid 6,0
2438va (VaSet
2439font "Arial,8,1"
2440)
2441xt "20000,2000,27100,3000"
2442st "Diagram Signals:"
2443blo "20000,2800"
2444)
2445postUserLabel (Text
2446uid 7,0
2447va (VaSet
2448isHidden 1
2449font "Arial,8,1"
2450)
2451xt "20000,0,24700,1000"
2452st "Post User:"
2453blo "20000,800"
2454)
2455postUserText (MLText
2456uid 8,0
2457va (VaSet
2458isHidden 1
2459font "Courier New,8,0"
2460)
2461xt "20000,0,20000,0"
2462tm "BdDeclarativeTextMgr"
2463)
2464)
2465commonDM (CommonDM
2466ldm (LogicalDM
2467suid 4,0
2468usingSuid 1
2469emptyRow *69 (LEmptyRow
2470)
2471uid 54,0
2472optionalChildren [
2473*70 (RefLabelRowHdr
2474)
2475*71 (TitleRowHdr
2476)
2477*72 (FilterRowHdr
2478)
2479*73 (RefLabelColHdr
2480tm "RefLabelColHdrMgr"
2481)
2482*74 (RowExpandColHdr
2483tm "RowExpandColHdrMgr"
2484)
2485*75 (GroupColHdr
2486tm "GroupColHdrMgr"
2487)
2488*76 (NameColHdr
2489tm "BlockDiagramNameColHdrMgr"
2490)
2491*77 (ModeColHdr
2492tm "BlockDiagramModeColHdrMgr"
2493)
2494*78 (TypeColHdr
2495tm "BlockDiagramTypeColHdrMgr"
2496)
2497*79 (BoundsColHdr
2498tm "BlockDiagramBoundsColHdrMgr"
2499)
2500*80 (InitColHdr
2501tm "BlockDiagramInitColHdrMgr"
2502)
2503*81 (EolColHdr
2504tm "BlockDiagramEolColHdrMgr"
2505)
2506*82 (LeafLogPort
2507port (LogicalPort
2508m 4
2509decl (Decl
2510n "clk"
2511t "std_logic"
2512preAdd 0
2513posAdd 0
2514o 1
2515suid 1,0
2516i "'0'"
2517)
2518)
2519uid 215,0
2520)
2521*83 (LeafLogPort
2522port (LogicalPort
2523m 4
2524decl (Decl
2525n "data"
2526t "STD_LOGIC_VECTOR"
2527b "(11 DOWNTO 0)"
2528preAdd 0
2529posAdd 0
2530o 2
2531suid 2,0
2532)
2533)
2534uid 217,0
2535)
2536*84 (LeafLogPort
2537port (LogicalPort
2538m 4
2539decl (Decl
2540n "otr"
2541t "STD_LOGIC"
2542preAdd 0
2543posAdd 0
2544o 3
2545suid 3,0
2546)
2547)
2548uid 219,0
2549)
2550*85 (LeafLogPort
2551port (LogicalPort
2552m 4
2553decl (Decl
2554n "oeb"
2555t "STD_LOGIC"
2556preAdd 0
2557posAdd 0
2558o 4
2559suid 4,0
2560)
2561)
2562uid 221,0
2563)
2564]
2565)
2566pdm (PhysicalDM
2567displayShortBounds 1
2568editShortBounds 1
2569uid 67,0
2570optionalChildren [
2571*86 (Sheet
2572sheetRow (SheetRow
2573headerVa (MVa
2574cellColor "49152,49152,49152"
2575fontColor "0,0,0"
2576font "Tahoma,10,0"
2577)
2578cellVa (MVa
2579cellColor "65535,65535,65535"
2580fontColor "0,0,0"
2581font "Tahoma,10,0"
2582)
2583groupVa (MVa
2584cellColor "39936,56832,65280"
2585fontColor "0,0,0"
2586font "Tahoma,10,0"
2587)
2588emptyMRCItem *87 (MRCItem
2589litem &69
2590pos 4
2591dimension 20
2592)
2593uid 69,0
2594optionalChildren [
2595*88 (MRCItem
2596litem &70
2597pos 0
2598dimension 20
2599uid 70,0
2600)
2601*89 (MRCItem
2602litem &71
2603pos 1
2604dimension 23
2605uid 71,0
2606)
2607*90 (MRCItem
2608litem &72
2609pos 2
2610hidden 1
2611dimension 20
2612uid 72,0
2613)
2614*91 (MRCItem
2615litem &82
2616pos 0
2617dimension 20
2618uid 216,0
2619)
2620*92 (MRCItem
2621litem &83
2622pos 1
2623dimension 20
2624uid 218,0
2625)
2626*93 (MRCItem
2627litem &84
2628pos 2
2629dimension 20
2630uid 220,0
2631)
2632*94 (MRCItem
2633litem &85
2634pos 3
2635dimension 20
2636uid 222,0
2637)
2638]
2639)
2640sheetCol (SheetCol
2641propVa (MVa
2642cellColor "0,49152,49152"
2643fontColor "0,0,0"
2644font "Tahoma,10,0"
2645textAngle 90
2646)
2647uid 73,0
2648optionalChildren [
2649*95 (MRCItem
2650litem &73
2651pos 0
2652dimension 20
2653uid 74,0
2654)
2655*96 (MRCItem
2656litem &75
2657pos 1
2658dimension 50
2659uid 75,0
2660)
2661*97 (MRCItem
2662litem &76
2663pos 2
2664dimension 100
2665uid 76,0
2666)
2667*98 (MRCItem
2668litem &77
2669pos 3
2670dimension 50
2671uid 77,0
2672)
2673*99 (MRCItem
2674litem &78
2675pos 4
2676dimension 100
2677uid 78,0
2678)
2679*100 (MRCItem
2680litem &79
2681pos 5
2682dimension 100
2683uid 79,0
2684)
2685*101 (MRCItem
2686litem &80
2687pos 6
2688dimension 50
2689uid 80,0
2690)
2691*102 (MRCItem
2692litem &81
2693pos 7
2694dimension 80
2695uid 81,0
2696)
2697]
2698)
2699fixedCol 4
2700fixedRow 2
2701name "Ports"
2702uid 68,0
2703vaOverrides [
2704]
2705)
2706]
2707)
2708uid 53,0
2709)
2710genericsCommonDM (CommonDM
2711ldm (LogicalDM
2712emptyRow *103 (LEmptyRow
2713)
2714uid 83,0
2715optionalChildren [
2716*104 (RefLabelRowHdr
2717)
2718*105 (TitleRowHdr
2719)
2720*106 (FilterRowHdr
2721)
2722*107 (RefLabelColHdr
2723tm "RefLabelColHdrMgr"
2724)
2725*108 (RowExpandColHdr
2726tm "RowExpandColHdrMgr"
2727)
2728*109 (GroupColHdr
2729tm "GroupColHdrMgr"
2730)
2731*110 (NameColHdr
2732tm "GenericNameColHdrMgr"
2733)
2734*111 (TypeColHdr
2735tm "GenericTypeColHdrMgr"
2736)
2737*112 (InitColHdr
2738tm "GenericValueColHdrMgr"
2739)
2740*113 (PragmaColHdr
2741tm "GenericPragmaColHdrMgr"
2742)
2743*114 (EolColHdr
2744tm "GenericEolColHdrMgr"
2745)
2746]
2747)
2748pdm (PhysicalDM
2749displayShortBounds 1
2750editShortBounds 1
2751uid 95,0
2752optionalChildren [
2753*115 (Sheet
2754sheetRow (SheetRow
2755headerVa (MVa
2756cellColor "49152,49152,49152"
2757fontColor "0,0,0"
2758font "Tahoma,10,0"
2759)
2760cellVa (MVa
2761cellColor "65535,65535,65535"
2762fontColor "0,0,0"
2763font "Tahoma,10,0"
2764)
2765groupVa (MVa
2766cellColor "39936,56832,65280"
2767fontColor "0,0,0"
2768font "Tahoma,10,0"
2769)
2770emptyMRCItem *116 (MRCItem
2771litem &103
2772pos 0
2773dimension 20
2774)
2775uid 97,0
2776optionalChildren [
2777*117 (MRCItem
2778litem &104
2779pos 0
2780dimension 20
2781uid 98,0
2782)
2783*118 (MRCItem
2784litem &105
2785pos 1
2786dimension 23
2787uid 99,0
2788)
2789*119 (MRCItem
2790litem &106
2791pos 2
2792hidden 1
2793dimension 20
2794uid 100,0
2795)
2796]
2797)
2798sheetCol (SheetCol
2799propVa (MVa
2800cellColor "0,49152,49152"
2801fontColor "0,0,0"
2802font "Tahoma,10,0"
2803textAngle 90
2804)
2805uid 101,0
2806optionalChildren [
2807*120 (MRCItem
2808litem &107
2809pos 0
2810dimension 20
2811uid 102,0
2812)
2813*121 (MRCItem
2814litem &109
2815pos 1
2816dimension 50
2817uid 103,0
2818)
2819*122 (MRCItem
2820litem &110
2821pos 2
2822dimension 100
2823uid 104,0
2824)
2825*123 (MRCItem
2826litem &111
2827pos 3
2828dimension 100
2829uid 105,0
2830)
2831*124 (MRCItem
2832litem &112
2833pos 4
2834dimension 50
2835uid 106,0
2836)
2837*125 (MRCItem
2838litem &113
2839pos 5
2840dimension 50
2841uid 107,0
2842)
2843*126 (MRCItem
2844litem &114
2845pos 6
2846dimension 80
2847uid 108,0
2848)
2849]
2850)
2851fixedCol 3
2852fixedRow 2
2853name "Ports"
2854uid 96,0
2855vaOverrides [
2856]
2857)
2858]
2859)
2860uid 82,0
2861type 1
2862)
2863activeModelName "BlockDiag"
2864)
Note: See TracBrowser for help on using the repository browser.