source: firmware/FAD/FACT_FAD_TB_lib/hds/dna_gen_tb/struct.bd.bak@ 12105

Last change on this file since 12105 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 37.4 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "IEEE"
7unitName "STD_LOGIC_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "STD_LOGIC_ARITH"
13itemName "ALL"
14)
15(DmPackageRef
16library "IEEE"
17unitName "STD_LOGIC_UNSIGNED"
18itemName "ALL"
19)
20(DmPackageRef
21library "UNISIM"
22unitName "VComponents"
23itemName "ALL"
24)
25]
26instances [
27(Instance
28name "U_0"
29duLibraryName "FACT_FAD_lib"
30duName "dna_gen"
31elements [
32]
33mwi 0
34uid 21,0
35)
36(Instance
37name "U_1"
38duLibraryName "FACT_FAD_TB_lib"
39duName "dna_gen_tester"
40elements [
41]
42mwi 0
43uid 55,0
44)
45(Instance
46name "U_2"
47duLibraryName "FACT_FAD_TB_lib"
48duName "clock_generator"
49elements [
50(GiElement
51name "clock_period"
52type "time"
53value "20 ns"
54)
55(GiElement
56name "reset_time"
57type "time"
58value "50 ns"
59)
60]
61mwi 0
62uid 224,0
63)
64]
65libraryRefs [
66"IEEE"
67"UNISIM"
68]
69)
70version "29.1"
71appVersion "2009.1 (Build 12)"
72noEmbeddedEditors 1
73model (BlockDiag
74VExpander (VariableExpander
75vvMap [
76(vvPair
77variable "HDLDir"
78value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
79)
80(vvPair
81variable "HDSDir"
82value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
83)
84(vvPair
85variable "SideDataDesignDir"
86value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\struct.bd.info"
87)
88(vvPair
89variable "SideDataUserDir"
90value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\struct.bd.user"
91)
92(vvPair
93variable "SourceDir"
94value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
95)
96(vvPair
97variable "appl"
98value "HDL Designer"
99)
100(vvPair
101variable "arch_name"
102value "struct"
103)
104(vvPair
105variable "config"
106value "%(unit)_%(view)_config"
107)
108(vvPair
109variable "d"
110value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb"
111)
112(vvPair
113variable "d_logical"
114value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb"
115)
116(vvPair
117variable "date"
118value "03.03.2011"
119)
120(vvPair
121variable "day"
122value "Do"
123)
124(vvPair
125variable "day_long"
126value "Donnerstag"
127)
128(vvPair
129variable "dd"
130value "03"
131)
132(vvPair
133variable "entity_name"
134value "dna_gen_tb"
135)
136(vvPair
137variable "ext"
138value "<TBD>"
139)
140(vvPair
141variable "f"
142value "struct.bd"
143)
144(vvPair
145variable "f_logical"
146value "struct.bd"
147)
148(vvPair
149variable "f_noext"
150value "struct"
151)
152(vvPair
153variable "group"
154value "UNKNOWN"
155)
156(vvPair
157variable "host"
158value "IHP110"
159)
160(vvPair
161variable "language"
162value "VHDL"
163)
164(vvPair
165variable "library"
166value "FACT_FAD_TB_lib"
167)
168(vvPair
169variable "library_downstream_HdsLintPlugin"
170value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
171)
172(vvPair
173variable "library_downstream_ISEPARInvoke"
174value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
175)
176(vvPair
177variable "library_downstream_ImpactInvoke"
178value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
179)
180(vvPair
181variable "library_downstream_ModelSimCompiler"
182value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
183)
184(vvPair
185variable "library_downstream_XSTDataPrep"
186value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
187)
188(vvPair
189variable "mm"
190value "03"
191)
192(vvPair
193variable "module_name"
194value "dna_gen_tb"
195)
196(vvPair
197variable "month"
198value "Mrz"
199)
200(vvPair
201variable "month_long"
202value "März"
203)
204(vvPair
205variable "p"
206value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\struct.bd"
207)
208(vvPair
209variable "p_logical"
210value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\struct.bd"
211)
212(vvPair
213variable "package_name"
214value "<Undefined Variable>"
215)
216(vvPair
217variable "project_name"
218value "FACT_FAD"
219)
220(vvPair
221variable "series"
222value "HDL Designer Series"
223)
224(vvPair
225variable "task_DesignCompilerPath"
226value "<TBD>"
227)
228(vvPair
229variable "task_LeonardoPath"
230value "<TBD>"
231)
232(vvPair
233variable "task_ModelSimPath"
234value "D:\\modeltech_6.5e\\win32"
235)
236(vvPair
237variable "task_NC-SimPath"
238value "<TBD>"
239)
240(vvPair
241variable "task_PrecisionRTLPath"
242value "<TBD>"
243)
244(vvPair
245variable "task_QuestaSimPath"
246value "<TBD>"
247)
248(vvPair
249variable "task_VCSPath"
250value "<TBD>"
251)
252(vvPair
253variable "this_ext"
254value "bd"
255)
256(vvPair
257variable "this_file"
258value "struct"
259)
260(vvPair
261variable "this_file_logical"
262value "struct"
263)
264(vvPair
265variable "time"
266value "09:58:05"
267)
268(vvPair
269variable "unit"
270value "dna_gen_tb"
271)
272(vvPair
273variable "user"
274value "daqct3"
275)
276(vvPair
277variable "version"
278value "2009.1 (Build 12)"
279)
280(vvPair
281variable "view"
282value "struct"
283)
284(vvPair
285variable "year"
286value "2011"
287)
288(vvPair
289variable "yy"
290value "11"
291)
292]
293)
294LanguageMgr "VhdlLangMgr"
295uid 138,0
296optionalChildren [
297*1 (SaComponent
298uid 21,0
299optionalChildren [
300*2 (CptPort
301uid 9,0
302ps "OnEdgeStrategy"
303shape (Triangle
304uid 10,0
305ro 90
306va (VaSet
307vasetType 1
308fg "0,65535,0"
309)
310xt "-750,8625,0,9375"
311)
312tg (CPTG
313uid 11,0
314ps "CptPortTextPlaceStrategy"
315stg "VerticalLayoutStrategy"
316f (Text
317uid 12,0
318va (VaSet
319)
320xt "1000,8500,2500,9500"
321st "clk"
322blo "1000,9300"
323)
324)
325thePort (LogicalPort
326decl (Decl
327n "clk"
328t "STD_LOGIC"
329o 1
330)
331)
332)
333*3 (CptPort
334uid 13,0
335ps "OnEdgeStrategy"
336shape (Triangle
337uid 14,0
338ro 90
339va (VaSet
340vasetType 1
341fg "0,65535,0"
342)
343xt "11000,8625,11750,9375"
344)
345tg (CPTG
346uid 15,0
347ps "CptPortTextPlaceStrategy"
348stg "RightVerticalLayoutStrategy"
349f (Text
350uid 16,0
351va (VaSet
352)
353xt "4900,8500,10000,9500"
354st "dna : (63:0)"
355ju 2
356blo "10000,9300"
357)
358)
359thePort (LogicalPort
360m 1
361decl (Decl
362n "dna"
363t "STD_LOGIC_VECTOR"
364b "(63 downto 0)"
365o 2
366i "(others => '0')"
367)
368)
369)
370*4 (CptPort
371uid 17,0
372ps "OnEdgeStrategy"
373shape (Triangle
374uid 18,0
375ro 90
376va (VaSet
377vasetType 1
378fg "0,65535,0"
379)
380xt "11000,9625,11750,10375"
381)
382tg (CPTG
383uid 19,0
384ps "CptPortTextPlaceStrategy"
385stg "RightVerticalLayoutStrategy"
386f (Text
387uid 20,0
388va (VaSet
389)
390xt "7500,9500,10000,10500"
391st "ready"
392ju 2
393blo "10000,10300"
394)
395)
396thePort (LogicalPort
397m 1
398decl (Decl
399n "ready"
400t "STD_LOGIC"
401o 3
402i "'0'"
403)
404)
405)
406]
407shape (Rectangle
408uid 22,0
409va (VaSet
410vasetType 1
411fg "0,65535,0"
412lineColor "0,32896,0"
413lineWidth 2
414)
415xt "0,8000,11000,11000"
416)
417ttg (MlTextGroup
418uid 23,0
419ps "CenterOffsetStrategy"
420stg "VerticalLayoutStrategy"
421textVec [
422*5 (Text
423uid 24,0
424va (VaSet
425font "Comic Sans MS,8,1"
426)
427xt "2100,11000,8900,12100"
428st "FACT_FAD_lib"
429blo "2100,11900"
430tm "BdLibraryNameMgr"
431)
432*6 (Text
433uid 25,0
434va (VaSet
435font "Comic Sans MS,8,1"
436)
437xt "2100,12100,5600,13200"
438st "dna_gen"
439blo "2100,13000"
440tm "CptNameMgr"
441)
442*7 (Text
443uid 26,0
444va (VaSet
445font "Comic Sans MS,8,1"
446)
447xt "2100,13200,4200,14300"
448st "U_0"
449blo "2100,14100"
450tm "InstanceNameMgr"
451)
452]
453)
454ga (GenericAssociation
455uid 27,0
456ps "EdgeToEdgeStrategy"
457matrix (Matrix
458uid 28,0
459text (MLText
460uid 29,0
461va (VaSet
462)
463xt "5500,8000,5500,8000"
464)
465header ""
466)
467elements [
468]
469)
470viewicon (ZoomableIcon
471uid 30,0
472sl 0
473va (VaSet
474vasetType 1
475fg "49152,49152,49152"
476)
477xt "250,9250,1750,10750"
478iconName "VhdlFileViewIcon.png"
479iconMaskName "VhdlFileViewIcon.msk"
480ftype 10
481)
482ordering 1
483viewiconposition 0
484portVis (PortSigDisplay
485)
486archType 1
487archFileType "UNKNOWN"
488)
489*8 (Net
490uid 31,0
491lang 10
492decl (Decl
493n "dna"
494t "STD_LOGIC_VECTOR"
495b "(63 DOWNTO 0)"
496o 1
497suid 1,0
498)
499declText (MLText
500uid 32,0
501va (VaSet
502)
503xt "22000,4300,44000,5300"
504st "SIGNAL dna : STD_LOGIC_VECTOR(63 DOWNTO 0)"
505)
506)
507*9 (Net
508uid 39,0
509lang 10
510decl (Decl
511n "ready"
512t "STD_LOGIC"
513o 2
514suid 2,0
515)
516declText (MLText
517uid 40,0
518va (VaSet
519)
520xt "22000,5300,34000,6300"
521st "SIGNAL ready : STD_LOGIC"
522)
523)
524*10 (Net
525uid 47,0
526decl (Decl
527n "clk"
528t "STD_LOGIC"
529o 3
530suid 3,0
531)
532declText (MLText
533uid 48,0
534va (VaSet
535)
536xt "22000,3300,33400,4300"
537st "SIGNAL clk : STD_LOGIC"
538)
539)
540*11 (Blk
541uid 55,0
542shape (Rectangle
543uid 56,0
544va (VaSet
545vasetType 1
546fg "39936,56832,65280"
547lineColor "0,0,32768"
548lineWidth 2
549)
550xt "28000,9000,39000,12000"
551)
552ttg (MlTextGroup
553uid 57,0
554ps "CenterOffsetStrategy"
555stg "VerticalLayoutStrategy"
556textVec [
557*12 (Text
558uid 58,0
559va (VaSet
560font "Comic Sans MS,8,1"
561)
562xt "29300,8850,37700,9950"
563st "FACT_FAD_TB_lib"
564blo "29300,9750"
565tm "BdLibraryNameMgr"
566)
567*13 (Text
568uid 59,0
569va (VaSet
570font "Comic Sans MS,8,1"
571)
572xt "29300,9950,36200,11050"
573st "dna_gen_tester"
574blo "29300,10850"
575tm "BlkNameMgr"
576)
577*14 (Text
578uid 60,0
579va (VaSet
580font "Comic Sans MS,8,1"
581)
582xt "29300,11050,31400,12150"
583st "U_1"
584blo "29300,11950"
585tm "InstanceNameMgr"
586)
587]
588)
589ga (GenericAssociation
590uid 61,0
591ps "EdgeToEdgeStrategy"
592matrix (Matrix
593uid 62,0
594text (MLText
595uid 63,0
596va (VaSet
597)
598xt "29300,18850,29300,18850"
599)
600header ""
601)
602elements [
603]
604)
605viewicon (ZoomableIcon
606uid 64,0
607sl 0
608va (VaSet
609vasetType 1
610fg "49152,49152,49152"
611)
612xt "28250,10250,29750,11750"
613iconName "VhdlFileViewIcon.png"
614iconMaskName "VhdlFileViewIcon.msk"
615ftype 10
616)
617ordering 1
618viewiconposition 0
619blkPorts [
620"dna"
621"ready"
622]
623)
624*15 (Grouping
625uid 89,0
626optionalChildren [
627*16 (CommentText
628uid 91,0
629shape (Rectangle
630uid 92,0
631sl 0
632va (VaSet
633vasetType 1
634fg "65280,65280,46080"
635)
636xt "25000,50000,42000,51000"
637)
638oxt "18000,70000,35000,71000"
639text (MLText
640uid 93,0
641va (VaSet
642fg "0,0,32768"
643bg "0,0,32768"
644font "Arial,8,0"
645)
646xt "25200,50000,35000,51000"
647st "
648by %user on %dd %month %year
649"
650tm "CommentText"
651wrapOption 3
652visibleHeight 1000
653visibleWidth 17000
654)
655position 1
656ignorePrefs 1
657titleBlock 1
658)
659*17 (CommentText
660uid 94,0
661shape (Rectangle
662uid 95,0
663sl 0
664va (VaSet
665vasetType 1
666fg "65280,65280,46080"
667)
668xt "42000,46000,46000,47000"
669)
670oxt "35000,66000,39000,67000"
671text (MLText
672uid 96,0
673va (VaSet
674fg "0,0,32768"
675bg "0,0,32768"
676font "Arial,8,0"
677)
678xt "42200,46000,45200,47000"
679st "
680Project:
681"
682tm "CommentText"
683wrapOption 3
684visibleHeight 1000
685visibleWidth 4000
686)
687position 1
688ignorePrefs 1
689titleBlock 1
690)
691*18 (CommentText
692uid 97,0
693shape (Rectangle
694uid 98,0
695sl 0
696va (VaSet
697vasetType 1
698fg "65280,65280,46080"
699)
700xt "25000,48000,42000,49000"
701)
702oxt "18000,68000,35000,69000"
703text (MLText
704uid 99,0
705va (VaSet
706fg "0,0,32768"
707bg "0,0,32768"
708font "Arial,8,0"
709)
710xt "25200,48000,35200,49000"
711st "
712<enter diagram title here>
713"
714tm "CommentText"
715wrapOption 3
716visibleHeight 1000
717visibleWidth 17000
718)
719position 1
720ignorePrefs 1
721titleBlock 1
722)
723*19 (CommentText
724uid 100,0
725shape (Rectangle
726uid 101,0
727sl 0
728va (VaSet
729vasetType 1
730fg "65280,65280,46080"
731)
732xt "21000,48000,25000,49000"
733)
734oxt "14000,68000,18000,69000"
735text (MLText
736uid 102,0
737va (VaSet
738fg "0,0,32768"
739bg "0,0,32768"
740font "Arial,8,0"
741)
742xt "21200,48000,23300,49000"
743st "
744Title:
745"
746tm "CommentText"
747wrapOption 3
748visibleHeight 1000
749visibleWidth 4000
750)
751position 1
752ignorePrefs 1
753titleBlock 1
754)
755*20 (CommentText
756uid 103,0
757shape (Rectangle
758uid 104,0
759sl 0
760va (VaSet
761vasetType 1
762fg "65280,65280,46080"
763)
764xt "42000,47000,62000,51000"
765)
766oxt "35000,67000,55000,71000"
767text (MLText
768uid 105,0
769va (VaSet
770fg "0,0,32768"
771bg "0,0,32768"
772font "Arial,8,0"
773)
774xt "42200,47200,51400,48200"
775st "
776<enter comments here>
777"
778tm "CommentText"
779wrapOption 3
780visibleHeight 4000
781visibleWidth 20000
782)
783ignorePrefs 1
784titleBlock 1
785)
786*21 (CommentText
787uid 106,0
788shape (Rectangle
789uid 107,0
790sl 0
791va (VaSet
792vasetType 1
793fg "65280,65280,46080"
794)
795xt "46000,46000,62000,47000"
796)
797oxt "39000,66000,55000,67000"
798text (MLText
799uid 108,0
800va (VaSet
801fg "0,0,32768"
802bg "0,0,32768"
803font "Arial,8,0"
804)
805xt "46200,46000,50700,47000"
806st "
807%project_name
808"
809tm "CommentText"
810wrapOption 3
811visibleHeight 1000
812visibleWidth 16000
813)
814position 1
815ignorePrefs 1
816titleBlock 1
817)
818*22 (CommentText
819uid 109,0
820shape (Rectangle
821uid 110,0
822sl 0
823va (VaSet
824vasetType 1
825fg "65280,65280,46080"
826)
827xt "21000,46000,42000,48000"
828)
829oxt "14000,66000,35000,68000"
830text (MLText
831uid 111,0
832va (VaSet
833fg "32768,0,0"
834)
835xt "28200,46500,34800,47500"
836st "
837<company name>
838"
839ju 0
840tm "CommentText"
841wrapOption 3
842visibleHeight 2000
843visibleWidth 21000
844)
845position 1
846ignorePrefs 1
847titleBlock 1
848)
849*23 (CommentText
850uid 112,0
851shape (Rectangle
852uid 113,0
853sl 0
854va (VaSet
855vasetType 1
856fg "65280,65280,46080"
857)
858xt "21000,49000,25000,50000"
859)
860oxt "14000,69000,18000,70000"
861text (MLText
862uid 114,0
863va (VaSet
864fg "0,0,32768"
865bg "0,0,32768"
866font "Arial,8,0"
867)
868xt "21200,49000,23300,50000"
869st "
870Path:
871"
872tm "CommentText"
873wrapOption 3
874visibleHeight 1000
875visibleWidth 4000
876)
877position 1
878ignorePrefs 1
879titleBlock 1
880)
881*24 (CommentText
882uid 115,0
883shape (Rectangle
884uid 116,0
885sl 0
886va (VaSet
887vasetType 1
888fg "65280,65280,46080"
889)
890xt "21000,50000,25000,51000"
891)
892oxt "14000,70000,18000,71000"
893text (MLText
894uid 117,0
895va (VaSet
896fg "0,0,32768"
897bg "0,0,32768"
898font "Arial,8,0"
899)
900xt "21200,50000,23900,51000"
901st "
902Edited:
903"
904tm "CommentText"
905wrapOption 3
906visibleHeight 1000
907visibleWidth 4000
908)
909position 1
910ignorePrefs 1
911titleBlock 1
912)
913*25 (CommentText
914uid 118,0
915shape (Rectangle
916uid 119,0
917sl 0
918va (VaSet
919vasetType 1
920fg "65280,65280,46080"
921)
922xt "25000,49000,42000,50000"
923)
924oxt "18000,69000,35000,70000"
925text (MLText
926uid 120,0
927va (VaSet
928fg "0,0,32768"
929bg "0,0,32768"
930font "Arial,8,0"
931)
932xt "25200,49000,39300,50000"
933st "
934%library/%unit/%view
935"
936tm "CommentText"
937wrapOption 3
938visibleHeight 1000
939visibleWidth 17000
940)
941position 1
942ignorePrefs 1
943titleBlock 1
944)
945]
946shape (GroupingShape
947uid 90,0
948va (VaSet
949vasetType 1
950fg "65535,65535,65535"
951lineStyle 2
952lineWidth 2
953)
954xt "21000,46000,62000,51000"
955)
956oxt "14000,66000,55000,71000"
957)
958*26 (SaComponent
959uid 224,0
960optionalChildren [
961*27 (CptPort
962uid 213,0
963ps "OnEdgeStrategy"
964shape (Triangle
965uid 214,0
966ro 90
967va (VaSet
968vasetType 1
969fg "0,65535,0"
970)
971xt "25000,24625,25750,25375"
972)
973tg (CPTG
974uid 215,0
975ps "CptPortTextPlaceStrategy"
976stg "RightVerticalLayoutStrategy"
977f (Text
978uid 216,0
979va (VaSet
980)
981xt "22500,24500,24000,25500"
982st "clk"
983ju 2
984blo "24000,25300"
985)
986)
987thePort (LogicalPort
988m 1
989decl (Decl
990n "clk"
991t "std_logic"
992preAdd 0
993posAdd 0
994o 1
995suid 1,0
996i "'0'"
997)
998)
999)
1000*28 (CptPort
1001uid 217,0
1002ps "OnEdgeStrategy"
1003shape (Triangle
1004uid 218,0
1005ro 90
1006va (VaSet
1007vasetType 1
1008fg "0,65535,0"
1009)
1010xt "25000,25625,25750,26375"
1011)
1012tg (CPTG
1013uid 219,0
1014ps "CptPortTextPlaceStrategy"
1015stg "RightVerticalLayoutStrategy"
1016f (Text
1017uid 220,0
1018va (VaSet
1019)
1020xt "22400,25500,24000,26500"
1021st "rst"
1022ju 2
1023blo "24000,26300"
1024)
1025)
1026thePort (LogicalPort
1027m 1
1028decl (Decl
1029n "rst"
1030t "std_logic"
1031preAdd 0
1032posAdd 0
1033o 2
1034suid 2,0
1035i "'0'"
1036)
1037)
1038)
1039]
1040shape (Rectangle
1041uid 225,0
1042va (VaSet
1043vasetType 1
1044fg "0,49152,49152"
1045lineColor "0,0,50000"
1046lineWidth 2
1047)
1048xt "17000,24000,25000,28000"
1049)
1050oxt "22000,15000,30000,19000"
1051ttg (MlTextGroup
1052uid 226,0
1053ps "CenterOffsetStrategy"
1054stg "VerticalLayoutStrategy"
1055textVec [
1056*29 (Text
1057uid 227,0
1058va (VaSet
1059font "Arial,8,1"
1060)
1061xt "17150,28000,24850,29000"
1062st "FACT_FAD_TB_lib"
1063blo "17150,28800"
1064tm "BdLibraryNameMgr"
1065)
1066*30 (Text
1067uid 228,0
1068va (VaSet
1069font "Arial,8,1"
1070)
1071xt "17150,29000,23850,30000"
1072st "clock_generator"
1073blo "17150,29800"
1074tm "CptNameMgr"
1075)
1076*31 (Text
1077uid 229,0
1078va (VaSet
1079font "Arial,8,1"
1080)
1081xt "17150,30000,18950,31000"
1082st "U_2"
1083blo "17150,30800"
1084tm "InstanceNameMgr"
1085)
1086]
1087)
1088ga (GenericAssociation
1089uid 230,0
1090ps "EdgeToEdgeStrategy"
1091matrix (Matrix
1092uid 231,0
1093text (MLText
1094uid 232,0
1095va (VaSet
1096font "Courier New,8,0"
1097)
1098xt "16500,15400,35000,17000"
1099st "clock_period = 20 ns ( time )
1100reset_time = 50 ns ( time ) "
1101)
1102header ""
1103)
1104elements [
1105(GiElement
1106name "clock_period"
1107type "time"
1108value "20 ns"
1109)
1110(GiElement
1111name "reset_time"
1112type "time"
1113value "50 ns"
1114)
1115]
1116)
1117viewicon (ZoomableIcon
1118uid 233,0
1119sl 0
1120va (VaSet
1121vasetType 1
1122fg "49152,49152,49152"
1123)
1124xt "17250,26250,18750,27750"
1125iconName "VhdlFileViewIcon.png"
1126iconMaskName "VhdlFileViewIcon.msk"
1127ftype 10
1128)
1129ordering 1
1130viewiconposition 0
1131portVis (PortSigDisplay
1132)
1133archFileType "UNKNOWN"
1134)
1135*32 (Wire
1136uid 33,0
1137shape (OrthoPolyLine
1138uid 34,0
1139va (VaSet
1140vasetType 3
1141lineWidth 2
1142)
1143xt "11750,9000,19000,9000"
1144pts [
1145"11750,9000"
1146"19000,9000"
1147]
1148)
1149start &3
1150sat 32
1151eat 16
1152sty 1
1153st 0
1154sf 1
1155si 0
1156tg (WTG
1157uid 37,0
1158ps "ConnStartEndStrategy"
1159stg "STSignalDisplayStrategy"
1160f (Text
1161uid 38,0
1162va (VaSet
1163)
1164xt "13000,8000,18100,9000"
1165st "dna : (63:0)"
1166blo "13000,8800"
1167tm "WireNameMgr"
1168)
1169)
1170on &8
1171)
1172*33 (Wire
1173uid 41,0
1174shape (OrthoPolyLine
1175uid 42,0
1176va (VaSet
1177vasetType 3
1178)
1179xt "11750,10000,19000,10000"
1180pts [
1181"11750,10000"
1182"19000,10000"
1183]
1184)
1185start &4
1186sat 32
1187eat 16
1188st 0
1189sf 1
1190si 0
1191tg (WTG
1192uid 45,0
1193ps "ConnStartEndStrategy"
1194stg "STSignalDisplayStrategy"
1195f (Text
1196uid 46,0
1197va (VaSet
1198)
1199xt "13000,9000,15500,10000"
1200st "ready"
1201blo "13000,9800"
1202tm "WireNameMgr"
1203)
1204)
1205on &9
1206)
1207*34 (Wire
1208uid 49,0
1209shape (OrthoPolyLine
1210uid 50,0
1211va (VaSet
1212vasetType 3
1213)
1214xt "-5000,9000,-750,9000"
1215pts [
1216"-5000,9000"
1217"-750,9000"
1218]
1219)
1220end &2
1221sat 16
1222eat 32
1223st 0
1224sf 1
1225si 0
1226tg (WTG
1227uid 53,0
1228ps "ConnStartEndStrategy"
1229stg "STSignalDisplayStrategy"
1230f (Text
1231uid 54,0
1232va (VaSet
1233)
1234xt "-4000,8000,-2500,9000"
1235st "clk"
1236blo "-4000,8800"
1237tm "WireNameMgr"
1238)
1239)
1240on &10
1241)
1242*35 (Wire
1243uid 73,0
1244shape (OrthoPolyLine
1245uid 74,0
1246va (VaSet
1247vasetType 3
1248)
1249xt "39000,11000,47000,11000"
1250pts [
1251"39000,11000"
1252"47000,11000"
1253]
1254)
1255start &11
1256sat 1
1257eat 16
1258st 0
1259sf 1
1260si 0
1261tg (WTG
1262uid 79,0
1263ps "ConnStartEndStrategy"
1264stg "STSignalDisplayStrategy"
1265f (Text
1266uid 80,0
1267va (VaSet
1268)
1269xt "40000,10000,42500,11000"
1270st "ready"
1271blo "40000,10800"
1272tm "WireNameMgr"
1273)
1274)
1275on &9
1276)
1277*36 (Wire
1278uid 81,0
1279shape (OrthoPolyLine
1280uid 82,0
1281va (VaSet
1282vasetType 3
1283lineWidth 2
1284)
1285xt "39000,10000,47000,10000"
1286pts [
1287"39000,10000"
1288"47000,10000"
1289]
1290)
1291start &11
1292sat 1
1293eat 16
1294sty 1
1295st 0
1296sf 1
1297si 0
1298tg (WTG
1299uid 87,0
1300ps "ConnStartEndStrategy"
1301stg "STSignalDisplayStrategy"
1302f (Text
1303uid 88,0
1304va (VaSet
1305)
1306xt "41000,9000,46100,10000"
1307st "dna : (63:0)"
1308blo "41000,9800"
1309tm "WireNameMgr"
1310)
1311)
1312on &8
1313)
1314*37 (Wire
1315uid 234,0
1316shape (OrthoPolyLine
1317uid 235,0
1318va (VaSet
1319vasetType 3
1320)
1321xt "25750,25000,30000,25000"
1322pts [
1323"25750,25000"
1324"30000,25000"
1325]
1326)
1327start &27
1328sat 32
1329eat 16
1330st 0
1331sf 1
1332si 0
1333tg (WTG
1334uid 238,0
1335ps "ConnStartEndStrategy"
1336stg "STSignalDisplayStrategy"
1337f (Text
1338uid 239,0
1339va (VaSet
1340)
1341xt "27000,24000,28500,25000"
1342st "clk"
1343blo "27000,24800"
1344tm "WireNameMgr"
1345)
1346)
1347on &10
1348)
1349]
1350bg "65535,65535,65535"
1351grid (Grid
1352origin "0,0"
1353isVisible 1
1354isActive 1
1355xSpacing 1000
1356xySpacing 1000
1357xShown 1
1358yShown 1
1359color "26368,26368,26368"
1360)
1361packageList *38 (PackageList
1362uid 127,0
1363stg "VerticalLayoutStrategy"
1364textVec [
1365*39 (Text
1366uid 128,0
1367va (VaSet
1368font "arial,8,1"
1369)
1370xt "0,0,5400,1000"
1371st "Package List"
1372blo "0,800"
1373)
1374*40 (MLText
1375uid 129,0
1376va (VaSet
1377)
1378xt "0,1000,16700,7000"
1379st "LIBRARY IEEE;
1380USE IEEE.STD_LOGIC_1164.ALL;
1381USE IEEE.STD_LOGIC_ARITH.ALL;
1382USE IEEE.STD_LOGIC_UNSIGNED.ALL;
1383LIBRARY UNISIM;
1384USE UNISIM.VComponents.ALL;"
1385tm "PackageList"
1386)
1387]
1388)
1389compDirBlock (MlTextGroup
1390uid 130,0
1391stg "VerticalLayoutStrategy"
1392textVec [
1393*41 (Text
1394uid 131,0
1395va (VaSet
1396isHidden 1
1397font "Comic Sans MS,8,1"
1398)
1399xt "20000,0,28200,1100"
1400st "Compiler Directives"
1401blo "20000,900"
1402)
1403*42 (Text
1404uid 132,0
1405va (VaSet
1406isHidden 1
1407font "Comic Sans MS,8,1"
1408)
1409xt "20000,1100,30000,2200"
1410st "Pre-module directives:"
1411blo "20000,2000"
1412)
1413*43 (MLText
1414uid 133,0
1415va (VaSet
1416isHidden 1
1417)
1418xt "20000,2200,28200,4200"
1419st "`resetall
1420`timescale 1ns/10ps"
1421tm "BdCompilerDirectivesTextMgr"
1422)
1423*44 (Text
1424uid 134,0
1425va (VaSet
1426isHidden 1
1427font "Comic Sans MS,8,1"
1428)
1429xt "20000,4200,30400,5300"
1430st "Post-module directives:"
1431blo "20000,5100"
1432)
1433*45 (MLText
1434uid 135,0
1435va (VaSet
1436isHidden 1
1437)
1438xt "20000,0,20000,0"
1439tm "BdCompilerDirectivesTextMgr"
1440)
1441*46 (Text
1442uid 136,0
1443va (VaSet
1444isHidden 1
1445font "Comic Sans MS,8,1"
1446)
1447xt "20000,5300,30200,6400"
1448st "End-module directives:"
1449blo "20000,6200"
1450)
1451*47 (MLText
1452uid 137,0
1453va (VaSet
1454isHidden 1
1455)
1456xt "20000,6400,20000,6400"
1457tm "BdCompilerDirectivesTextMgr"
1458)
1459]
1460associable 1
1461)
1462windowSize "-4,-4,1684,1026"
1463viewArea "-8174,-5086,59518,37123"
1464cachedDiagramExtent "-5400,0,62000,51000"
1465hasePageBreakOrigin 1
1466pageBreakOrigin "-6000,0"
1467lastUid 239,0
1468defaultCommentText (CommentText
1469shape (Rectangle
1470layer 0
1471va (VaSet
1472vasetType 1
1473fg "65280,65280,46080"
1474lineColor "0,0,32768"
1475)
1476xt "0,0,15000,5000"
1477)
1478text (MLText
1479va (VaSet
1480fg "0,0,32768"
1481)
1482xt "200,200,2400,1200"
1483st "
1484Text
1485"
1486tm "CommentText"
1487wrapOption 3
1488visibleHeight 4600
1489visibleWidth 14600
1490)
1491)
1492defaultPanel (Panel
1493shape (RectFrame
1494va (VaSet
1495vasetType 1
1496fg "65535,65535,65535"
1497lineColor "32768,0,0"
1498lineWidth 3
1499)
1500xt "0,0,20000,20000"
1501)
1502title (TextAssociate
1503ps "TopLeftStrategy"
1504text (Text
1505va (VaSet
1506font "Comic Sans MS,8,1"
1507)
1508xt "1000,1000,3800,2100"
1509st "Panel0"
1510blo "1000,1900"
1511tm "PanelText"
1512)
1513)
1514)
1515defaultBlk (Blk
1516shape (Rectangle
1517va (VaSet
1518vasetType 1
1519fg "39936,56832,65280"
1520lineColor "0,0,32768"
1521lineWidth 2
1522)
1523xt "0,0,8000,10000"
1524)
1525ttg (MlTextGroup
1526ps "CenterOffsetStrategy"
1527stg "VerticalLayoutStrategy"
1528textVec [
1529*48 (Text
1530va (VaSet
1531font "Comic Sans MS,8,1"
1532)
1533xt "2000,3350,6000,4450"
1534st "<library>"
1535blo "2000,4250"
1536tm "BdLibraryNameMgr"
1537)
1538*49 (Text
1539va (VaSet
1540font "Comic Sans MS,8,1"
1541)
1542xt "2000,4450,5400,5550"
1543st "<block>"
1544blo "2000,5350"
1545tm "BlkNameMgr"
1546)
1547*50 (Text
1548va (VaSet
1549font "Comic Sans MS,8,1"
1550)
1551xt "2000,5550,4100,6650"
1552st "U_0"
1553blo "2000,6450"
1554tm "InstanceNameMgr"
1555)
1556]
1557)
1558ga (GenericAssociation
1559ps "EdgeToEdgeStrategy"
1560matrix (Matrix
1561text (MLText
1562va (VaSet
1563)
1564xt "2000,13350,2000,13350"
1565)
1566header ""
1567)
1568elements [
1569]
1570)
1571viewicon (ZoomableIcon
1572sl 0
1573va (VaSet
1574vasetType 1
1575fg "49152,49152,49152"
1576)
1577xt "0,0,1500,1500"
1578iconName "UnknownFile.png"
1579iconMaskName "UnknownFile.msk"
1580)
1581viewiconposition 0
1582)
1583defaultMWComponent (MWC
1584shape (Rectangle
1585va (VaSet
1586vasetType 1
1587fg "0,65535,0"
1588lineColor "0,32896,0"
1589lineWidth 2
1590)
1591xt "0,0,8000,10000"
1592)
1593ttg (MlTextGroup
1594ps "CenterOffsetStrategy"
1595stg "VerticalLayoutStrategy"
1596textVec [
1597*51 (Text
1598va (VaSet
1599font "Comic Sans MS,8,1"
1600)
1601xt "800,3350,4000,4450"
1602st "Library"
1603blo "800,4250"
1604)
1605*52 (Text
1606va (VaSet
1607font "Comic Sans MS,8,1"
1608)
1609xt "800,4450,7200,5550"
1610st "MWComponent"
1611blo "800,5350"
1612)
1613*53 (Text
1614va (VaSet
1615font "Comic Sans MS,8,1"
1616)
1617xt "800,5550,2900,6650"
1618st "U_0"
1619blo "800,6450"
1620tm "InstanceNameMgr"
1621)
1622]
1623)
1624ga (GenericAssociation
1625ps "EdgeToEdgeStrategy"
1626matrix (Matrix
1627text (MLText
1628va (VaSet
1629)
1630xt "-6200,1350,-6200,1350"
1631)
1632header ""
1633)
1634elements [
1635]
1636)
1637portVis (PortSigDisplay
1638)
1639prms (Property
1640pclass "params"
1641pname "params"
1642ptn "String"
1643)
1644visOptions (mwParamsVisibilityOptions
1645)
1646)
1647defaultSaComponent (SaComponent
1648shape (Rectangle
1649va (VaSet
1650vasetType 1
1651fg "0,65535,0"
1652lineColor "0,32896,0"
1653lineWidth 2
1654)
1655xt "0,0,8000,10000"
1656)
1657ttg (MlTextGroup
1658ps "CenterOffsetStrategy"
1659stg "VerticalLayoutStrategy"
1660textVec [
1661*54 (Text
1662va (VaSet
1663font "Comic Sans MS,8,1"
1664)
1665xt "1050,3350,4250,4450"
1666st "Library"
1667blo "1050,4250"
1668tm "BdLibraryNameMgr"
1669)
1670*55 (Text
1671va (VaSet
1672font "Comic Sans MS,8,1"
1673)
1674xt "1050,4450,6950,5550"
1675st "SaComponent"
1676blo "1050,5350"
1677tm "CptNameMgr"
1678)
1679*56 (Text
1680va (VaSet
1681font "Comic Sans MS,8,1"
1682)
1683xt "1050,5550,3150,6650"
1684st "U_0"
1685blo "1050,6450"
1686tm "InstanceNameMgr"
1687)
1688]
1689)
1690ga (GenericAssociation
1691ps "EdgeToEdgeStrategy"
1692matrix (Matrix
1693text (MLText
1694va (VaSet
1695)
1696xt "-5950,1350,-5950,1350"
1697)
1698header ""
1699)
1700elements [
1701]
1702)
1703viewicon (ZoomableIcon
1704sl 0
1705va (VaSet
1706vasetType 1
1707fg "49152,49152,49152"
1708)
1709xt "0,0,1500,1500"
1710iconName "UnknownFile.png"
1711iconMaskName "UnknownFile.msk"
1712)
1713viewiconposition 0
1714portVis (PortSigDisplay
1715)
1716archFileType "UNKNOWN"
1717)
1718defaultVhdlComponent (VhdlComponent
1719shape (Rectangle
1720va (VaSet
1721vasetType 1
1722fg "0,65535,0"
1723lineColor "0,32896,0"
1724lineWidth 2
1725)
1726xt "0,0,8000,10000"
1727)
1728ttg (MlTextGroup
1729ps "CenterOffsetStrategy"
1730stg "VerticalLayoutStrategy"
1731textVec [
1732*57 (Text
1733va (VaSet
1734font "Comic Sans MS,8,1"
1735)
1736xt "700,3350,3900,4450"
1737st "Library"
1738blo "700,4250"
1739)
1740*58 (Text
1741va (VaSet
1742font "Comic Sans MS,8,1"
1743)
1744xt "700,4450,7300,5550"
1745st "VhdlComponent"
1746blo "700,5350"
1747)
1748*59 (Text
1749va (VaSet
1750font "Comic Sans MS,8,1"
1751)
1752xt "700,5550,2800,6650"
1753st "U_0"
1754blo "700,6450"
1755tm "InstanceNameMgr"
1756)
1757]
1758)
1759ga (GenericAssociation
1760ps "EdgeToEdgeStrategy"
1761matrix (Matrix
1762text (MLText
1763va (VaSet
1764)
1765xt "-6300,1350,-6300,1350"
1766)
1767header ""
1768)
1769elements [
1770]
1771)
1772portVis (PortSigDisplay
1773)
1774entityPath ""
1775archName ""
1776archPath ""
1777)
1778defaultVerilogComponent (VerilogComponent
1779shape (Rectangle
1780va (VaSet
1781vasetType 1
1782fg "0,65535,0"
1783lineColor "0,32896,0"
1784lineWidth 2
1785)
1786xt "-200,0,8200,10000"
1787)
1788ttg (MlTextGroup
1789ps "CenterOffsetStrategy"
1790stg "VerticalLayoutStrategy"
1791textVec [
1792*60 (Text
1793va (VaSet
1794font "Comic Sans MS,8,1"
1795)
1796xt "300,3350,3500,4450"
1797st "Library"
1798blo "300,4250"
1799)
1800*61 (Text
1801va (VaSet
1802font "Comic Sans MS,8,1"
1803)
1804xt "300,4450,7700,5550"
1805st "VerilogComponent"
1806blo "300,5350"
1807)
1808*62 (Text
1809va (VaSet
1810font "Comic Sans MS,8,1"
1811)
1812xt "300,5550,2400,6650"
1813st "U_0"
1814blo "300,6450"
1815tm "InstanceNameMgr"
1816)
1817]
1818)
1819ga (GenericAssociation
1820ps "EdgeToEdgeStrategy"
1821matrix (Matrix
1822text (MLText
1823va (VaSet
1824)
1825xt "-6700,1350,-6700,1350"
1826)
1827header ""
1828)
1829elements [
1830]
1831)
1832entityPath ""
1833)
1834defaultHdlText (HdlText
1835shape (Rectangle
1836va (VaSet
1837vasetType 1
1838fg "65535,65535,37120"
1839lineColor "0,0,32768"
1840lineWidth 2
1841)
1842xt "0,0,8000,10000"
1843)
1844ttg (MlTextGroup
1845ps "CenterOffsetStrategy"
1846stg "VerticalLayoutStrategy"
1847textVec [
1848*63 (Text
1849va (VaSet
1850font "Comic Sans MS,8,1"
1851)
1852xt "3050,3900,4950,5000"
1853st "eb1"
1854blo "3050,4800"
1855tm "HdlTextNameMgr"
1856)
1857*64 (Text
1858va (VaSet
1859font "Comic Sans MS,8,1"
1860)
1861xt "3050,5000,4050,6100"
1862st "1"
1863blo "3050,5900"
1864tm "HdlTextNumberMgr"
1865)
1866]
1867)
1868viewicon (ZoomableIcon
1869sl 0
1870va (VaSet
1871vasetType 1
1872fg "49152,49152,49152"
1873)
1874xt "0,0,1500,1500"
1875iconName "UnknownFile.png"
1876iconMaskName "UnknownFile.msk"
1877)
1878viewiconposition 0
1879)
1880defaultEmbeddedText (EmbeddedText
1881commentText (CommentText
1882ps "CenterOffsetStrategy"
1883shape (Rectangle
1884va (VaSet
1885vasetType 1
1886fg "65535,65535,65535"
1887lineColor "0,0,32768"
1888lineWidth 2
1889)
1890xt "0,0,18000,5000"
1891)
1892text (MLText
1893va (VaSet
1894)
1895xt "200,200,2400,1200"
1896st "
1897Text
1898"
1899tm "HdlTextMgr"
1900wrapOption 3
1901visibleHeight 4600
1902visibleWidth 17600
1903)
1904)
1905)
1906defaultGlobalConnector (GlobalConnector
1907shape (Circle
1908va (VaSet
1909vasetType 1
1910fg "65535,65535,0"
1911)
1912xt "-1000,-1000,1000,1000"
1913radius 1000
1914)
1915name (Text
1916va (VaSet
1917font "Comic Sans MS,8,1"
1918)
1919xt "-500,-550,500,550"
1920st "G"
1921blo "-500,350"
1922)
1923)
1924defaultRipper (Ripper
1925ps "OnConnectorStrategy"
1926shape (Line2D
1927pts [
1928"0,0"
1929"1000,1000"
1930]
1931va (VaSet
1932vasetType 1
1933)
1934xt "0,0,1000,1000"
1935)
1936)
1937defaultBdJunction (BdJunction
1938ps "OnConnectorStrategy"
1939shape (Circle
1940va (VaSet
1941vasetType 1
1942)
1943xt "-400,-400,400,400"
1944radius 400
1945)
1946)
1947defaultPortIoIn (PortIoIn
1948shape (CompositeShape
1949va (VaSet
1950vasetType 1
1951fg "0,0,32768"
1952)
1953optionalChildren [
1954(Pentagon
1955sl 0
1956ro 270
1957xt "-2000,-375,-500,375"
1958)
1959(Line
1960sl 0
1961ro 270
1962xt "-500,0,0,0"
1963pts [
1964"-500,0"
1965"0,0"
1966]
1967)
1968]
1969)
1970stc 0
1971sf 1
1972tg (WTG
1973ps "PortIoTextPlaceStrategy"
1974stg "STSignalDisplayStrategy"
1975f (Text
1976va (VaSet
1977)
1978xt "-1375,-1000,-1375,-1000"
1979ju 2
1980blo "-1375,-1000"
1981tm "WireNameMgr"
1982)
1983)
1984)
1985defaultPortIoOut (PortIoOut
1986shape (CompositeShape
1987va (VaSet
1988vasetType 1
1989fg "0,0,32768"
1990)
1991optionalChildren [
1992(Pentagon
1993sl 0
1994ro 270
1995xt "500,-375,2000,375"
1996)
1997(Line
1998sl 0
1999ro 270
2000xt "0,0,500,0"
2001pts [
2002"0,0"
2003"500,0"
2004]
2005)
2006]
2007)
2008stc 0
2009sf 1
2010tg (WTG
2011ps "PortIoTextPlaceStrategy"
2012stg "STSignalDisplayStrategy"
2013f (Text
2014va (VaSet
2015)
2016xt "625,-1000,625,-1000"
2017blo "625,-1000"
2018tm "WireNameMgr"
2019)
2020)
2021)
2022defaultPortIoInOut (PortIoInOut
2023shape (CompositeShape
2024va (VaSet
2025vasetType 1
2026fg "0,0,32768"
2027)
2028optionalChildren [
2029(Hexagon
2030sl 0
2031xt "500,-375,2000,375"
2032)
2033(Line
2034sl 0
2035xt "0,0,500,0"
2036pts [
2037"0,0"
2038"500,0"
2039]
2040)
2041]
2042)
2043stc 0
2044sf 1
2045tg (WTG
2046ps "PortIoTextPlaceStrategy"
2047stg "STSignalDisplayStrategy"
2048f (Text
2049va (VaSet
2050)
2051xt "0,-375,0,-375"
2052blo "0,-375"
2053tm "WireNameMgr"
2054)
2055)
2056)
2057defaultPortIoBuffer (PortIoBuffer
2058shape (CompositeShape
2059va (VaSet
2060vasetType 1
2061fg "65535,65535,65535"
2062lineColor "0,0,32768"
2063)
2064optionalChildren [
2065(Hexagon
2066sl 0
2067xt "500,-375,2000,375"
2068)
2069(Line
2070sl 0
2071xt "0,0,500,0"
2072pts [
2073"0,0"
2074"500,0"
2075]
2076)
2077]
2078)
2079stc 0
2080sf 1
2081tg (WTG
2082ps "PortIoTextPlaceStrategy"
2083stg "STSignalDisplayStrategy"
2084f (Text
2085va (VaSet
2086)
2087xt "0,-375,0,-375"
2088blo "0,-375"
2089tm "WireNameMgr"
2090)
2091)
2092)
2093defaultSignal (Wire
2094shape (OrthoPolyLine
2095va (VaSet
2096vasetType 3
2097)
2098pts [
2099"0,0"
2100"0,0"
2101]
2102)
2103ss 0
2104es 0
2105sat 32
2106eat 32
2107st 0
2108sf 1
2109si 0
2110tg (WTG
2111ps "ConnStartEndStrategy"
2112stg "STSignalDisplayStrategy"
2113f (Text
2114va (VaSet
2115)
2116xt "0,0,1900,1000"
2117st "sig0"
2118blo "0,800"
2119tm "WireNameMgr"
2120)
2121)
2122)
2123defaultBus (Wire
2124shape (OrthoPolyLine
2125va (VaSet
2126vasetType 3
2127lineWidth 2
2128)
2129pts [
2130"0,0"
2131"0,0"
2132]
2133)
2134ss 0
2135es 0
2136sat 32
2137eat 32
2138sty 1
2139st 0
2140sf 1
2141si 0
2142tg (WTG
2143ps "ConnStartEndStrategy"
2144stg "STSignalDisplayStrategy"
2145f (Text
2146va (VaSet
2147)
2148xt "0,0,2700,1000"
2149st "dbus0"
2150blo "0,800"
2151tm "WireNameMgr"
2152)
2153)
2154)
2155defaultBundle (Bundle
2156shape (OrthoPolyLine
2157va (VaSet
2158vasetType 3
2159lineColor "32768,0,0"
2160lineWidth 2
2161)
2162pts [
2163"0,0"
2164"0,0"
2165]
2166)
2167ss 0
2168es 0
2169sat 32
2170eat 32
2171textGroup (BiTextGroup
2172ps "ConnStartEndStrategy"
2173stg "VerticalLayoutStrategy"
2174first (Text
2175va (VaSet
2176)
2177xt "0,0,3300,1000"
2178st "bundle0"
2179blo "0,800"
2180tm "BundleNameMgr"
2181)
2182second (MLText
2183va (VaSet
2184)
2185xt "0,1000,1000,2000"
2186st "()"
2187tm "BundleContentsMgr"
2188)
2189)
2190bundleNet &0
2191)
2192defaultPortMapFrame (PortMapFrame
2193ps "PortMapFrameStrategy"
2194shape (RectFrame
2195va (VaSet
2196vasetType 1
2197fg "65535,65535,65535"
2198lineColor "0,0,32768"
2199lineWidth 2
2200)
2201xt "0,0,10000,12000"
2202)
2203portMapText (BiTextGroup
2204ps "BottomRightOffsetStrategy"
2205stg "VerticalLayoutStrategy"
2206first (MLText
2207va (VaSet
2208)
2209)
2210second (MLText
2211va (VaSet
2212)
2213tm "PortMapTextMgr"
2214)
2215)
2216)
2217defaultGenFrame (Frame
2218shape (RectFrame
2219va (VaSet
2220vasetType 1
2221fg "65535,65535,65535"
2222lineColor "26368,26368,26368"
2223lineStyle 2
2224lineWidth 3
2225)
2226xt "0,0,20000,20000"
2227)
2228title (TextAssociate
2229ps "TopLeftStrategy"
2230text (MLText
2231va (VaSet
2232)
2233xt "0,-1100,12900,-100"
2234st "g0: FOR i IN 0 TO n GENERATE"
2235tm "FrameTitleTextMgr"
2236)
2237)
2238seqNum (FrameSequenceNumber
2239ps "TopLeftStrategy"
2240shape (Rectangle
2241va (VaSet
2242vasetType 1
2243fg "65535,65535,65535"
2244)
2245xt "50,50,1250,1450"
2246)
2247num (Text
2248va (VaSet
2249)
2250xt "250,250,1050,1250"
2251st "1"
2252blo "250,1050"
2253tm "FrameSeqNumMgr"
2254)
2255)
2256decls (MlTextGroup
2257ps "BottomRightOffsetStrategy"
2258stg "VerticalLayoutStrategy"
2259textVec [
2260*65 (Text
2261va (VaSet
2262font "Comic Sans MS,8,1"
2263)
2264xt "13800,20000,22000,21100"
2265st "Frame Declarations"
2266blo "13800,20900"
2267)
2268*66 (MLText
2269va (VaSet
2270)
2271xt "13800,21100,13800,21100"
2272tm "BdFrameDeclTextMgr"
2273)
2274]
2275)
2276)
2277defaultBlockFrame (Frame
2278shape (RectFrame
2279va (VaSet
2280vasetType 1
2281fg "65535,65535,65535"
2282lineColor "26368,26368,26368"
2283lineStyle 1
2284lineWidth 3
2285)
2286xt "0,0,20000,20000"
2287)
2288title (TextAssociate
2289ps "TopLeftStrategy"
2290text (MLText
2291va (VaSet
2292)
2293xt "0,-1100,7700,-100"
2294st "b0: BLOCK (guard)"
2295tm "FrameTitleTextMgr"
2296)
2297)
2298seqNum (FrameSequenceNumber
2299ps "TopLeftStrategy"
2300shape (Rectangle
2301va (VaSet
2302vasetType 1
2303fg "65535,65535,65535"
2304)
2305xt "50,50,1250,1450"
2306)
2307num (Text
2308va (VaSet
2309)
2310xt "250,250,1050,1250"
2311st "1"
2312blo "250,1050"
2313tm "FrameSeqNumMgr"
2314)
2315)
2316decls (MlTextGroup
2317ps "BottomRightOffsetStrategy"
2318stg "VerticalLayoutStrategy"
2319textVec [
2320*67 (Text
2321va (VaSet
2322font "Comic Sans MS,8,1"
2323)
2324xt "13800,20000,22000,21100"
2325st "Frame Declarations"
2326blo "13800,20900"
2327)
2328*68 (MLText
2329va (VaSet
2330)
2331xt "13800,21100,13800,21100"
2332tm "BdFrameDeclTextMgr"
2333)
2334]
2335)
2336style 3
2337)
2338defaultSaCptPort (CptPort
2339ps "OnEdgeStrategy"
2340shape (Triangle
2341ro 90
2342va (VaSet
2343vasetType 1
2344fg "0,65535,0"
2345)
2346xt "0,0,750,750"
2347)
2348tg (CPTG
2349ps "CptPortTextPlaceStrategy"
2350stg "VerticalLayoutStrategy"
2351f (Text
2352va (VaSet
2353)
2354xt "0,750,2000,1750"
2355st "Port"
2356blo "0,1550"
2357)
2358)
2359thePort (LogicalPort
2360decl (Decl
2361n "Port"
2362t ""
2363o 0
2364)
2365)
2366)
2367defaultSaCptPortBuffer (CptPort
2368ps "OnEdgeStrategy"
2369shape (Diamond
2370va (VaSet
2371vasetType 1
2372fg "65535,65535,65535"
2373)
2374xt "0,0,750,750"
2375)
2376tg (CPTG
2377ps "CptPortTextPlaceStrategy"
2378stg "VerticalLayoutStrategy"
2379f (Text
2380va (VaSet
2381)
2382xt "0,750,2000,1750"
2383st "Port"
2384blo "0,1550"
2385)
2386)
2387thePort (LogicalPort
2388m 3
2389decl (Decl
2390n "Port"
2391t ""
2392o 0
2393)
2394)
2395)
2396defaultDeclText (MLText
2397va (VaSet
2398)
2399)
2400archDeclarativeBlock (BdArchDeclBlock
2401uid 1,0
2402stg "BdArchDeclBlockLS"
2403declLabel (Text
2404uid 2,0
2405va (VaSet
2406font "Comic Sans MS,8,1"
2407)
2408xt "20000,0,25600,1100"
2409st "Declarations"
2410blo "20000,900"
2411)
2412portLabel (Text
2413uid 3,0
2414va (VaSet
2415font "Comic Sans MS,8,1"
2416)
2417xt "20000,1100,22800,2200"
2418st "Ports:"
2419blo "20000,2000"
2420)
2421preUserLabel (Text
2422uid 4,0
2423va (VaSet
2424isHidden 1
2425font "Comic Sans MS,8,1"
2426)
2427xt "20000,0,24100,1100"
2428st "Pre User:"
2429blo "20000,900"
2430)
2431preUserText (MLText
2432uid 5,0
2433va (VaSet
2434isHidden 1
2435)
2436xt "20000,0,20000,0"
2437tm "BdDeclarativeTextMgr"
2438)
2439diagSignalLabel (Text
2440uid 6,0
2441va (VaSet
2442font "Comic Sans MS,8,1"
2443)
2444xt "20000,2200,27200,3300"
2445st "Diagram Signals:"
2446blo "20000,3100"
2447)
2448postUserLabel (Text
2449uid 7,0
2450va (VaSet
2451isHidden 1
2452font "Comic Sans MS,8,1"
2453)
2454xt "20000,0,25000,1100"
2455st "Post User:"
2456blo "20000,900"
2457)
2458postUserText (MLText
2459uid 8,0
2460va (VaSet
2461isHidden 1
2462)
2463xt "20000,0,20000,0"
2464tm "BdDeclarativeTextMgr"
2465)
2466)
2467commonDM (CommonDM
2468ldm (LogicalDM
2469suid 3,0
2470usingSuid 1
2471emptyRow *69 (LEmptyRow
2472)
2473uid 140,0
2474optionalChildren [
2475*70 (RefLabelRowHdr
2476)
2477*71 (TitleRowHdr
2478)
2479*72 (FilterRowHdr
2480)
2481*73 (RefLabelColHdr
2482tm "RefLabelColHdrMgr"
2483)
2484*74 (RowExpandColHdr
2485tm "RowExpandColHdrMgr"
2486)
2487*75 (GroupColHdr
2488tm "GroupColHdrMgr"
2489)
2490*76 (NameColHdr
2491tm "BlockDiagramNameColHdrMgr"
2492)
2493*77 (ModeColHdr
2494tm "BlockDiagramModeColHdrMgr"
2495)
2496*78 (TypeColHdr
2497tm "BlockDiagramTypeColHdrMgr"
2498)
2499*79 (BoundsColHdr
2500tm "BlockDiagramBoundsColHdrMgr"
2501)
2502*80 (InitColHdr
2503tm "BlockDiagramInitColHdrMgr"
2504)
2505*81 (EolColHdr
2506tm "BlockDiagramEolColHdrMgr"
2507)
2508*82 (LeafLogPort
2509port (LogicalPort
2510lang 10
2511m 4
2512decl (Decl
2513n "dna"
2514t "STD_LOGIC_VECTOR"
2515b "(63 DOWNTO 0)"
2516o 1
2517suid 1,0
2518)
2519)
2520uid 121,0
2521)
2522*83 (LeafLogPort
2523port (LogicalPort
2524lang 10
2525m 4
2526decl (Decl
2527n "ready"
2528t "STD_LOGIC"
2529o 2
2530suid 2,0
2531)
2532)
2533uid 123,0
2534)
2535*84 (LeafLogPort
2536port (LogicalPort
2537m 4
2538decl (Decl
2539n "clk"
2540t "STD_LOGIC"
2541o 3
2542suid 3,0
2543)
2544)
2545uid 125,0
2546)
2547]
2548)
2549pdm (PhysicalDM
2550displayShortBounds 1
2551editShortBounds 1
2552uid 153,0
2553optionalChildren [
2554*85 (Sheet
2555sheetRow (SheetRow
2556headerVa (MVa
2557cellColor "49152,49152,49152"
2558fontColor "0,0,0"
2559font "Tahoma,10,0"
2560)
2561cellVa (MVa
2562cellColor "65535,65535,65535"
2563fontColor "0,0,0"
2564font "Tahoma,10,0"
2565)
2566groupVa (MVa
2567cellColor "39936,56832,65280"
2568fontColor "0,0,0"
2569font "Tahoma,10,0"
2570)
2571emptyMRCItem *86 (MRCItem
2572litem &69
2573pos 3
2574dimension 20
2575)
2576uid 155,0
2577optionalChildren [
2578*87 (MRCItem
2579litem &70
2580pos 0
2581dimension 20
2582uid 156,0
2583)
2584*88 (MRCItem
2585litem &71
2586pos 1
2587dimension 23
2588uid 157,0
2589)
2590*89 (MRCItem
2591litem &72
2592pos 2
2593hidden 1
2594dimension 20
2595uid 158,0
2596)
2597*90 (MRCItem
2598litem &82
2599pos 0
2600dimension 20
2601uid 122,0
2602)
2603*91 (MRCItem
2604litem &83
2605pos 1
2606dimension 20
2607uid 124,0
2608)
2609*92 (MRCItem
2610litem &84
2611pos 2
2612dimension 20
2613uid 126,0
2614)
2615]
2616)
2617sheetCol (SheetCol
2618propVa (MVa
2619cellColor "0,49152,49152"
2620fontColor "0,0,0"
2621font "Tahoma,10,0"
2622textAngle 90
2623)
2624uid 159,0
2625optionalChildren [
2626*93 (MRCItem
2627litem &73
2628pos 0
2629dimension 20
2630uid 160,0
2631)
2632*94 (MRCItem
2633litem &75
2634pos 1
2635dimension 50
2636uid 161,0
2637)
2638*95 (MRCItem
2639litem &76
2640pos 2
2641dimension 100
2642uid 162,0
2643)
2644*96 (MRCItem
2645litem &77
2646pos 3
2647dimension 50
2648uid 163,0
2649)
2650*97 (MRCItem
2651litem &78
2652pos 4
2653dimension 100
2654uid 164,0
2655)
2656*98 (MRCItem
2657litem &79
2658pos 5
2659dimension 100
2660uid 165,0
2661)
2662*99 (MRCItem
2663litem &80
2664pos 6
2665dimension 50
2666uid 166,0
2667)
2668*100 (MRCItem
2669litem &81
2670pos 7
2671dimension 80
2672uid 167,0
2673)
2674]
2675)
2676fixedCol 4
2677fixedRow 2
2678name "Ports"
2679uid 154,0
2680vaOverrides [
2681]
2682)
2683]
2684)
2685uid 139,0
2686)
2687genericsCommonDM (CommonDM
2688ldm (LogicalDM
2689emptyRow *101 (LEmptyRow
2690)
2691uid 169,0
2692optionalChildren [
2693*102 (RefLabelRowHdr
2694)
2695*103 (TitleRowHdr
2696)
2697*104 (FilterRowHdr
2698)
2699*105 (RefLabelColHdr
2700tm "RefLabelColHdrMgr"
2701)
2702*106 (RowExpandColHdr
2703tm "RowExpandColHdrMgr"
2704)
2705*107 (GroupColHdr
2706tm "GroupColHdrMgr"
2707)
2708*108 (NameColHdr
2709tm "GenericNameColHdrMgr"
2710)
2711*109 (TypeColHdr
2712tm "GenericTypeColHdrMgr"
2713)
2714*110 (InitColHdr
2715tm "GenericValueColHdrMgr"
2716)
2717*111 (PragmaColHdr
2718tm "GenericPragmaColHdrMgr"
2719)
2720*112 (EolColHdr
2721tm "GenericEolColHdrMgr"
2722)
2723]
2724)
2725pdm (PhysicalDM
2726displayShortBounds 1
2727editShortBounds 1
2728uid 181,0
2729optionalChildren [
2730*113 (Sheet
2731sheetRow (SheetRow
2732headerVa (MVa
2733cellColor "49152,49152,49152"
2734fontColor "0,0,0"
2735font "Tahoma,10,0"
2736)
2737cellVa (MVa
2738cellColor "65535,65535,65535"
2739fontColor "0,0,0"
2740font "Tahoma,10,0"
2741)
2742groupVa (MVa
2743cellColor "39936,56832,65280"
2744fontColor "0,0,0"
2745font "Tahoma,10,0"
2746)
2747emptyMRCItem *114 (MRCItem
2748litem &101
2749pos 0
2750dimension 20
2751)
2752uid 183,0
2753optionalChildren [
2754*115 (MRCItem
2755litem &102
2756pos 0
2757dimension 20
2758uid 184,0
2759)
2760*116 (MRCItem
2761litem &103
2762pos 1
2763dimension 23
2764uid 185,0
2765)
2766*117 (MRCItem
2767litem &104
2768pos 2
2769hidden 1
2770dimension 20
2771uid 186,0
2772)
2773]
2774)
2775sheetCol (SheetCol
2776propVa (MVa
2777cellColor "0,49152,49152"
2778fontColor "0,0,0"
2779font "Tahoma,10,0"
2780textAngle 90
2781)
2782uid 187,0
2783optionalChildren [
2784*118 (MRCItem
2785litem &105
2786pos 0
2787dimension 20
2788uid 188,0
2789)
2790*119 (MRCItem
2791litem &107
2792pos 1
2793dimension 50
2794uid 189,0
2795)
2796*120 (MRCItem
2797litem &108
2798pos 2
2799dimension 100
2800uid 190,0
2801)
2802*121 (MRCItem
2803litem &109
2804pos 3
2805dimension 100
2806uid 191,0
2807)
2808*122 (MRCItem
2809litem &110
2810pos 4
2811dimension 50
2812uid 192,0
2813)
2814*123 (MRCItem
2815litem &111
2816pos 5
2817dimension 50
2818uid 193,0
2819)
2820*124 (MRCItem
2821litem &112
2822pos 6
2823dimension 80
2824uid 194,0
2825)
2826]
2827)
2828fixedCol 3
2829fixedRow 2
2830name "Ports"
2831uid 182,0
2832vaOverrides [
2833]
2834)
2835]
2836)
2837uid 168,0
2838type 1
2839)
2840activeModelName "BlockDiag"
2841)
Note: See TracBrowser for help on using the repository browser.