DocumentHdrVersion "1.1" Header (DocumentHdr version 2 dmPackageRefs [ (DmPackageRef library "IEEE" unitName "STD_LOGIC_1164" itemName "ALL" ) (DmPackageRef library "IEEE" unitName "STD_LOGIC_ARITH" itemName "ALL" ) (DmPackageRef library "IEEE" unitName "STD_LOGIC_UNSIGNED" itemName "ALL" ) (DmPackageRef library "UNISIM" unitName "VComponents" itemName "ALL" ) ] instances [ (Instance name "U_0" duLibraryName "FACT_FAD_lib" duName "dna_gen" elements [ ] mwi 0 uid 21,0 ) (Instance name "U_1" duLibraryName "FACT_FAD_TB_lib" duName "dna_gen_tester" elements [ ] mwi 0 uid 55,0 ) (Instance name "U_2" duLibraryName "FACT_FAD_TB_lib" duName "clock_generator" elements [ (GiElement name "clock_period" type "time" value "20 ns" ) (GiElement name "reset_time" type "time" value "50 ns" ) ] mwi 0 uid 224,0 ) ] libraryRefs [ "IEEE" "UNISIM" ] ) version "29.1" appVersion "2009.1 (Build 12)" noEmbeddedEditors 1 model (BlockDiag VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl" ) (vvPair variable "HDSDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" ) (vvPair variable "SideDataDesignDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\struct.bd.info" ) (vvPair variable "SideDataUserDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\struct.bd.user" ) (vvPair variable "SourceDir" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" ) (vvPair variable "appl" value "HDL Designer" ) (vvPair variable "arch_name" value "struct" ) (vvPair variable "config" value "%(unit)_%(view)_config" ) (vvPair variable "d" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb" ) (vvPair variable "d_logical" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb" ) (vvPair variable "date" value "03.03.2011" ) (vvPair variable "day" value "Do" ) (vvPair variable "day_long" value "Donnerstag" ) (vvPair variable "dd" value "03" ) (vvPair variable "entity_name" value "dna_gen_tb" ) (vvPair variable "ext" value "" ) (vvPair variable "f" value "struct.bd" ) (vvPair variable "f_logical" value "struct.bd" ) (vvPair variable "f_noext" value "struct" ) (vvPair variable "group" value "UNKNOWN" ) (vvPair variable "host" value "IHP110" ) (vvPair variable "language" value "VHDL" ) (vvPair variable "library" value "FACT_FAD_TB_lib" ) (vvPair variable "library_downstream_HdsLintPlugin" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck" ) (vvPair variable "library_downstream_ISEPARInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" ) (vvPair variable "library_downstream_ImpactInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" ) (vvPair variable "library_downstream_ModelSimCompiler" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work" ) (vvPair variable "library_downstream_XSTDataPrep" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" ) (vvPair variable "mm" value "03" ) (vvPair variable "module_name" value "dna_gen_tb" ) (vvPair variable "month" value "Mrz" ) (vvPair variable "month_long" value "März" ) (vvPair variable "p" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\struct.bd" ) (vvPair variable "p_logical" value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\struct.bd" ) (vvPair variable "package_name" value "" ) (vvPair variable "project_name" value "FACT_FAD" ) (vvPair variable "series" value "HDL Designer Series" ) (vvPair variable "task_DesignCompilerPath" value "" ) (vvPair variable "task_LeonardoPath" value "" ) (vvPair variable "task_ModelSimPath" value "D:\\modeltech_6.5e\\win32" ) (vvPair variable "task_NC-SimPath" value "" ) (vvPair variable "task_PrecisionRTLPath" value "" ) (vvPair variable "task_QuestaSimPath" value "" ) (vvPair variable "task_VCSPath" value "" ) (vvPair variable "this_ext" value "bd" ) (vvPair variable "this_file" value "struct" ) (vvPair variable "this_file_logical" value "struct" ) (vvPair variable "time" value "09:58:06" ) (vvPair variable "unit" value "dna_gen_tb" ) (vvPair variable "user" value "daqct3" ) (vvPair variable "version" value "2009.1 (Build 12)" ) (vvPair variable "view" value "struct" ) (vvPair variable "year" value "2011" ) (vvPair variable "yy" value "11" ) ] ) LanguageMgr "VhdlLangMgr" uid 138,0 optionalChildren [ *1 (SaComponent uid 21,0 optionalChildren [ *2 (CptPort uid 9,0 ps "OnEdgeStrategy" shape (Triangle uid 10,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "-750,8625,0,9375" ) tg (CPTG uid 11,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 12,0 va (VaSet ) xt "1000,8500,2500,9500" st "clk" blo "1000,9300" ) ) thePort (LogicalPort decl (Decl n "clk" t "STD_LOGIC" o 1 ) ) ) *3 (CptPort uid 13,0 ps "OnEdgeStrategy" shape (Triangle uid 14,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "11000,8625,11750,9375" ) tg (CPTG uid 15,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 16,0 va (VaSet ) xt "4900,8500,10000,9500" st "dna : (63:0)" ju 2 blo "10000,9300" ) ) thePort (LogicalPort m 1 decl (Decl n "dna" t "STD_LOGIC_VECTOR" b "(63 downto 0)" o 2 i "(others => '0')" ) ) ) *4 (CptPort uid 17,0 ps "OnEdgeStrategy" shape (Triangle uid 18,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "11000,9625,11750,10375" ) tg (CPTG uid 19,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 20,0 va (VaSet ) xt "7500,9500,10000,10500" st "ready" ju 2 blo "10000,10300" ) ) thePort (LogicalPort m 1 decl (Decl n "ready" t "STD_LOGIC" o 3 i "'0'" ) ) ) ] shape (Rectangle uid 22,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,8000,11000,11000" ) ttg (MlTextGroup uid 23,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *5 (Text uid 24,0 va (VaSet font "Comic Sans MS,8,1" ) xt "2100,11000,8900,12100" st "FACT_FAD_lib" blo "2100,11900" tm "BdLibraryNameMgr" ) *6 (Text uid 25,0 va (VaSet font "Comic Sans MS,8,1" ) xt "2100,12100,5600,13200" st "dna_gen" blo "2100,13000" tm "CptNameMgr" ) *7 (Text uid 26,0 va (VaSet font "Comic Sans MS,8,1" ) xt "2100,13200,4200,14300" st "U_0" blo "2100,14100" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 27,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 28,0 text (MLText uid 29,0 va (VaSet ) xt "5500,8000,5500,8000" ) header "" ) elements [ ] ) viewicon (ZoomableIcon uid 30,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "250,9250,1750,10750" iconName "VhdlFileViewIcon.png" iconMaskName "VhdlFileViewIcon.msk" ftype 10 ) ordering 1 viewiconposition 0 portVis (PortSigDisplay ) archType 1 archFileType "UNKNOWN" ) *8 (Net uid 31,0 lang 10 decl (Decl n "dna" t "STD_LOGIC_VECTOR" b "(63 DOWNTO 0)" o 1 suid 1,0 ) declText (MLText uid 32,0 va (VaSet ) xt "22000,4300,44000,5300" st "SIGNAL dna : STD_LOGIC_VECTOR(63 DOWNTO 0)" ) ) *9 (Net uid 39,0 lang 10 decl (Decl n "ready" t "STD_LOGIC" o 2 suid 2,0 ) declText (MLText uid 40,0 va (VaSet ) xt "22000,5300,34000,6300" st "SIGNAL ready : STD_LOGIC" ) ) *10 (Net uid 47,0 decl (Decl n "clk" t "STD_LOGIC" o 3 suid 3,0 ) declText (MLText uid 48,0 va (VaSet ) xt "22000,3300,33400,4300" st "SIGNAL clk : STD_LOGIC" ) ) *11 (Blk uid 55,0 shape (Rectangle uid 56,0 va (VaSet vasetType 1 fg "39936,56832,65280" lineColor "0,0,32768" lineWidth 2 ) xt "28000,9000,39000,12000" ) ttg (MlTextGroup uid 57,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *12 (Text uid 58,0 va (VaSet font "Comic Sans MS,8,1" ) xt "29300,8850,37700,9950" st "FACT_FAD_TB_lib" blo "29300,9750" tm "BdLibraryNameMgr" ) *13 (Text uid 59,0 va (VaSet font "Comic Sans MS,8,1" ) xt "29300,9950,36200,11050" st "dna_gen_tester" blo "29300,10850" tm "BlkNameMgr" ) *14 (Text uid 60,0 va (VaSet font "Comic Sans MS,8,1" ) xt "29300,11050,31400,12150" st "U_1" blo "29300,11950" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 61,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 62,0 text (MLText uid 63,0 va (VaSet ) xt "29300,18850,29300,18850" ) header "" ) elements [ ] ) viewicon (ZoomableIcon uid 64,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "28250,10250,29750,11750" iconName "VhdlFileViewIcon.png" iconMaskName "VhdlFileViewIcon.msk" ftype 10 ) ordering 1 viewiconposition 0 blkPorts [ "dna" "ready" ] ) *15 (Grouping uid 89,0 optionalChildren [ *16 (CommentText uid 91,0 shape (Rectangle uid 92,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "25000,50000,42000,51000" ) oxt "18000,70000,35000,71000" text (MLText uid 93,0 va (VaSet fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) xt "25200,50000,35000,51000" st " by %user on %dd %month %year " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *17 (CommentText uid 94,0 shape (Rectangle uid 95,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "42000,46000,46000,47000" ) oxt "35000,66000,39000,67000" text (MLText uid 96,0 va (VaSet fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) xt "42200,46000,45200,47000" st " Project: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *18 (CommentText uid 97,0 shape (Rectangle uid 98,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "25000,48000,42000,49000" ) oxt "18000,68000,35000,69000" text (MLText uid 99,0 va (VaSet fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) xt "25200,48000,35200,49000" st " " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *19 (CommentText uid 100,0 shape (Rectangle uid 101,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "21000,48000,25000,49000" ) oxt "14000,68000,18000,69000" text (MLText uid 102,0 va (VaSet fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) xt "21200,48000,23300,49000" st " Title: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *20 (CommentText uid 103,0 shape (Rectangle uid 104,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "42000,47000,62000,51000" ) oxt "35000,67000,55000,71000" text (MLText uid 105,0 va (VaSet fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) xt "42200,47200,51400,48200" st " " tm "CommentText" wrapOption 3 visibleHeight 4000 visibleWidth 20000 ) ignorePrefs 1 titleBlock 1 ) *21 (CommentText uid 106,0 shape (Rectangle uid 107,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "46000,46000,62000,47000" ) oxt "39000,66000,55000,67000" text (MLText uid 108,0 va (VaSet fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) xt "46200,46000,50700,47000" st " %project_name " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 16000 ) position 1 ignorePrefs 1 titleBlock 1 ) *22 (CommentText uid 109,0 shape (Rectangle uid 110,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "21000,46000,42000,48000" ) oxt "14000,66000,35000,68000" text (MLText uid 111,0 va (VaSet fg "32768,0,0" ) xt "28200,46500,34800,47500" st " " ju 0 tm "CommentText" wrapOption 3 visibleHeight 2000 visibleWidth 21000 ) position 1 ignorePrefs 1 titleBlock 1 ) *23 (CommentText uid 112,0 shape (Rectangle uid 113,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "21000,49000,25000,50000" ) oxt "14000,69000,18000,70000" text (MLText uid 114,0 va (VaSet fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) xt "21200,49000,23300,50000" st " Path: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *24 (CommentText uid 115,0 shape (Rectangle uid 116,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "21000,50000,25000,51000" ) oxt "14000,70000,18000,71000" text (MLText uid 117,0 va (VaSet fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) xt "21200,50000,23900,51000" st " Edited: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *25 (CommentText uid 118,0 shape (Rectangle uid 119,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "25000,49000,42000,50000" ) oxt "18000,69000,35000,70000" text (MLText uid 120,0 va (VaSet fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) xt "25200,49000,39300,50000" st " %library/%unit/%view " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) ] shape (GroupingShape uid 90,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineStyle 2 lineWidth 2 ) xt "21000,46000,62000,51000" ) oxt "14000,66000,55000,71000" ) *26 (SaComponent uid 224,0 optionalChildren [ *27 (CptPort uid 213,0 ps "OnEdgeStrategy" shape (Triangle uid 214,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "25000,24625,25750,25375" ) tg (CPTG uid 215,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 216,0 va (VaSet ) xt "22500,24500,24000,25500" st "clk" ju 2 blo "24000,25300" ) ) thePort (LogicalPort m 1 decl (Decl n "clk" t "std_logic" preAdd 0 posAdd 0 o 1 suid 1,0 i "'0'" ) ) ) *28 (CptPort uid 217,0 ps "OnEdgeStrategy" shape (Triangle uid 218,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "25000,25625,25750,26375" ) tg (CPTG uid 219,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 220,0 va (VaSet ) xt "22400,25500,24000,26500" st "rst" ju 2 blo "24000,26300" ) ) thePort (LogicalPort m 1 decl (Decl n "rst" t "std_logic" preAdd 0 posAdd 0 o 2 suid 2,0 i "'0'" ) ) ) ] shape (Rectangle uid 225,0 va (VaSet vasetType 1 fg "0,49152,49152" lineColor "0,0,50000" lineWidth 2 ) xt "17000,24000,25000,28000" ) oxt "22000,15000,30000,19000" ttg (MlTextGroup uid 226,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *29 (Text uid 227,0 va (VaSet font "Arial,8,1" ) xt "17150,28000,24850,29000" st "FACT_FAD_TB_lib" blo "17150,28800" tm "BdLibraryNameMgr" ) *30 (Text uid 228,0 va (VaSet font "Arial,8,1" ) xt "17150,29000,23850,30000" st "clock_generator" blo "17150,29800" tm "CptNameMgr" ) *31 (Text uid 229,0 va (VaSet font "Arial,8,1" ) xt "17150,30000,18950,31000" st "U_2" blo "17150,30800" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 230,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 231,0 text (MLText uid 232,0 va (VaSet font "Courier New,8,0" ) xt "16500,15400,35000,17000" st "clock_period = 20 ns ( time ) reset_time = 50 ns ( time ) " ) header "" ) elements [ (GiElement name "clock_period" type "time" value "20 ns" ) (GiElement name "reset_time" type "time" value "50 ns" ) ] ) viewicon (ZoomableIcon uid 233,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "17250,26250,18750,27750" iconName "VhdlFileViewIcon.png" iconMaskName "VhdlFileViewIcon.msk" ftype 10 ) ordering 1 viewiconposition 0 portVis (PortSigDisplay ) archFileType "UNKNOWN" ) *32 (Wire uid 33,0 shape (OrthoPolyLine uid 34,0 va (VaSet vasetType 3 lineWidth 2 ) xt "11750,9000,19000,9000" pts [ "11750,9000" "19000,9000" ] ) start &3 sat 32 eat 16 sty 1 st 0 sf 1 si 0 tg (WTG uid 37,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 38,0 va (VaSet ) xt "13000,8000,18100,9000" st "dna : (63:0)" blo "13000,8800" tm "WireNameMgr" ) ) on &8 ) *33 (Wire uid 41,0 shape (OrthoPolyLine uid 42,0 va (VaSet vasetType 3 ) xt "11750,10000,19000,10000" pts [ "11750,10000" "19000,10000" ] ) start &4 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 45,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 46,0 va (VaSet ) xt "13000,9000,15500,10000" st "ready" blo "13000,9800" tm "WireNameMgr" ) ) on &9 ) *34 (Wire uid 49,0 shape (OrthoPolyLine uid 50,0 va (VaSet vasetType 3 ) xt "-5000,9000,-750,9000" pts [ "-5000,9000" "-750,9000" ] ) end &2 sat 16 eat 32 st 0 sf 1 si 0 tg (WTG uid 53,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 54,0 va (VaSet ) xt "-4000,8000,-2500,9000" st "clk" blo "-4000,8800" tm "WireNameMgr" ) ) on &10 ) *35 (Wire uid 73,0 shape (OrthoPolyLine uid 74,0 va (VaSet vasetType 3 ) xt "39000,11000,47000,11000" pts [ "39000,11000" "47000,11000" ] ) start &11 sat 1 eat 16 st 0 sf 1 si 0 tg (WTG uid 79,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 80,0 va (VaSet ) xt "40000,10000,42500,11000" st "ready" blo "40000,10800" tm "WireNameMgr" ) ) on &9 ) *36 (Wire uid 81,0 shape (OrthoPolyLine uid 82,0 va (VaSet vasetType 3 lineWidth 2 ) xt "39000,10000,47000,10000" pts [ "39000,10000" "47000,10000" ] ) start &11 sat 1 eat 16 sty 1 st 0 sf 1 si 0 tg (WTG uid 87,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 88,0 va (VaSet ) xt "41000,9000,46100,10000" st "dna : (63:0)" blo "41000,9800" tm "WireNameMgr" ) ) on &8 ) *37 (Wire uid 234,0 shape (OrthoPolyLine uid 235,0 va (VaSet vasetType 3 ) xt "25750,25000,30000,25000" pts [ "25750,25000" "30000,25000" ] ) start &27 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 238,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 239,0 va (VaSet ) xt "27000,24000,28500,25000" st "clk" blo "27000,24800" tm "WireNameMgr" ) ) on &10 ) ] bg "65535,65535,65535" grid (Grid origin "0,0" isVisible 1 isActive 1 xSpacing 1000 xySpacing 1000 xShown 1 yShown 1 color "26368,26368,26368" ) packageList *38 (PackageList uid 127,0 stg "VerticalLayoutStrategy" textVec [ *39 (Text uid 128,0 va (VaSet font "arial,8,1" ) xt "0,0,5400,1000" st "Package List" blo "0,800" ) *40 (MLText uid 129,0 va (VaSet ) xt "0,1000,16700,7000" st "LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VComponents.ALL;" tm "PackageList" ) ] ) compDirBlock (MlTextGroup uid 130,0 stg "VerticalLayoutStrategy" textVec [ *41 (Text uid 131,0 va (VaSet isHidden 1 font "Comic Sans MS,8,1" ) xt "20000,0,28200,1100" st "Compiler Directives" blo "20000,900" ) *42 (Text uid 132,0 va (VaSet isHidden 1 font "Comic Sans MS,8,1" ) xt "20000,1100,30000,2200" st "Pre-module directives:" blo "20000,2000" ) *43 (MLText uid 133,0 va (VaSet isHidden 1 ) xt "20000,2200,28200,4200" st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) *44 (Text uid 134,0 va (VaSet isHidden 1 font "Comic Sans MS,8,1" ) xt "20000,4200,30400,5300" st "Post-module directives:" blo "20000,5100" ) *45 (MLText uid 135,0 va (VaSet isHidden 1 ) xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) *46 (Text uid 136,0 va (VaSet isHidden 1 font "Comic Sans MS,8,1" ) xt "20000,5300,30200,6400" st "End-module directives:" blo "20000,6200" ) *47 (MLText uid 137,0 va (VaSet isHidden 1 ) xt "20000,6400,20000,6400" tm "BdCompilerDirectivesTextMgr" ) ] associable 1 ) windowSize "-4,-4,1684,1026" viewArea "-8174,-5086,59518,37123" cachedDiagramExtent "-5400,0,62000,51000" hasePageBreakOrigin 1 pageBreakOrigin "-6000,0" lastUid 239,0 defaultCommentText (CommentText shape (Rectangle layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,0,15000,5000" ) text (MLText va (VaSet fg "0,0,32768" ) xt "200,200,2400,1200" st " Text " tm "CommentText" wrapOption 3 visibleHeight 4600 visibleWidth 14600 ) ) defaultPanel (Panel shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "32768,0,0" lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (Text va (VaSet font "Comic Sans MS,8,1" ) xt "1000,1000,3800,2100" st "Panel0" blo "1000,1900" tm "PanelText" ) ) ) defaultBlk (Blk shape (Rectangle va (VaSet vasetType 1 fg "39936,56832,65280" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *48 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "2000,3350,6000,4450" st "" blo "2000,4250" tm "BdLibraryNameMgr" ) *49 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "2000,4450,5400,5550" st "" blo "2000,5350" tm "BlkNameMgr" ) *50 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "2000,5550,4100,6650" st "U_0" blo "2000,6450" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet ) xt "2000,13350,2000,13350" ) header "" ) elements [ ] ) viewicon (ZoomableIcon sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "0,0,1500,1500" iconName "UnknownFile.png" iconMaskName "UnknownFile.msk" ) viewiconposition 0 ) defaultMWComponent (MWC shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *51 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "800,3350,4000,4450" st "Library" blo "800,4250" ) *52 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "800,4450,7200,5550" st "MWComponent" blo "800,5350" ) *53 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "800,5550,2900,6650" st "U_0" blo "800,6450" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet ) xt "-6200,1350,-6200,1350" ) header "" ) elements [ ] ) portVis (PortSigDisplay ) prms (Property pclass "params" pname "params" ptn "String" ) visOptions (mwParamsVisibilityOptions ) ) defaultSaComponent (SaComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *54 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "1050,3350,4250,4450" st "Library" blo "1050,4250" tm "BdLibraryNameMgr" ) *55 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "1050,4450,6950,5550" st "SaComponent" blo "1050,5350" tm "CptNameMgr" ) *56 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "1050,5550,3150,6650" st "U_0" blo "1050,6450" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet ) xt "-5950,1350,-5950,1350" ) header "" ) elements [ ] ) viewicon (ZoomableIcon sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "0,0,1500,1500" iconName "UnknownFile.png" iconMaskName "UnknownFile.msk" ) viewiconposition 0 portVis (PortSigDisplay ) archFileType "UNKNOWN" ) defaultVhdlComponent (VhdlComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *57 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "700,3350,3900,4450" st "Library" blo "700,4250" ) *58 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "700,4450,7300,5550" st "VhdlComponent" blo "700,5350" ) *59 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "700,5550,2800,6650" st "U_0" blo "700,6450" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet ) xt "-6300,1350,-6300,1350" ) header "" ) elements [ ] ) portVis (PortSigDisplay ) entityPath "" archName "" archPath "" ) defaultVerilogComponent (VerilogComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "-200,0,8200,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *60 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "300,3350,3500,4450" st "Library" blo "300,4250" ) *61 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "300,4450,7700,5550" st "VerilogComponent" blo "300,5350" ) *62 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "300,5550,2400,6650" st "U_0" blo "300,6450" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet ) xt "-6700,1350,-6700,1350" ) header "" ) elements [ ] ) entityPath "" ) defaultHdlText (HdlText shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,37120" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *63 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "3050,3900,4950,5000" st "eb1" blo "3050,4800" tm "HdlTextNameMgr" ) *64 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "3050,5000,4050,6100" st "1" blo "3050,5900" tm "HdlTextNumberMgr" ) ] ) viewicon (ZoomableIcon sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "0,0,1500,1500" iconName "UnknownFile.png" iconMaskName "UnknownFile.msk" ) viewiconposition 0 ) defaultEmbeddedText (EmbeddedText commentText (CommentText ps "CenterOffsetStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,18000,5000" ) text (MLText va (VaSet ) xt "200,200,2400,1200" st " Text " tm "HdlTextMgr" wrapOption 3 visibleHeight 4600 visibleWidth 17600 ) ) ) defaultGlobalConnector (GlobalConnector shape (Circle va (VaSet vasetType 1 fg "65535,65535,0" ) xt "-1000,-1000,1000,1000" radius 1000 ) name (Text va (VaSet font "Comic Sans MS,8,1" ) xt "-500,-550,500,550" st "G" blo "-500,350" ) ) defaultRipper (Ripper ps "OnConnectorStrategy" shape (Line2D pts [ "0,0" "1000,1000" ] va (VaSet vasetType 1 ) xt "0,0,1000,1000" ) ) defaultBdJunction (BdJunction ps "OnConnectorStrategy" shape (Circle va (VaSet vasetType 1 ) xt "-400,-400,400,400" radius 400 ) ) defaultPortIoIn (PortIoIn shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "-2000,-375,-500,375" ) (Line sl 0 ro 270 xt "-500,0,0,0" pts [ "-500,0" "0,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "-1375,-1000,-1375,-1000" ju 2 blo "-1375,-1000" tm "WireNameMgr" ) ) ) defaultPortIoOut (PortIoOut shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "500,-375,2000,375" ) (Line sl 0 ro 270 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "625,-1000,625,-1000" blo "625,-1000" tm "WireNameMgr" ) ) ) defaultPortIoInOut (PortIoInOut shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Hexagon sl 0 xt "500,-375,2000,375" ) (Line sl 0 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,-375,0,-375" blo "0,-375" tm "WireNameMgr" ) ) ) defaultPortIoBuffer (PortIoBuffer shape (CompositeShape va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" ) optionalChildren [ (Hexagon sl 0 xt "500,-375,2000,375" ) (Line sl 0 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,-375,0,-375" blo "0,-375" tm "WireNameMgr" ) ) ) defaultSignal (Wire shape (OrthoPolyLine va (VaSet vasetType 3 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 st 0 sf 1 si 0 tg (WTG ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,0,1900,1000" st "sig0" blo "0,800" tm "WireNameMgr" ) ) ) defaultBus (Wire shape (OrthoPolyLine va (VaSet vasetType 3 lineWidth 2 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 sty 1 st 0 sf 1 si 0 tg (WTG ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,0,2700,1000" st "dbus0" blo "0,800" tm "WireNameMgr" ) ) ) defaultBundle (Bundle shape (OrthoPolyLine va (VaSet vasetType 3 lineColor "32768,0,0" lineWidth 2 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 textGroup (BiTextGroup ps "ConnStartEndStrategy" stg "VerticalLayoutStrategy" first (Text va (VaSet ) xt "0,0,3300,1000" st "bundle0" blo "0,800" tm "BundleNameMgr" ) second (MLText va (VaSet ) xt "0,1000,1000,2000" st "()" tm "BundleContentsMgr" ) ) bundleNet &0 ) defaultPortMapFrame (PortMapFrame ps "PortMapFrameStrategy" shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,10000,12000" ) portMapText (BiTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" first (MLText va (VaSet ) ) second (MLText va (VaSet ) tm "PortMapTextMgr" ) ) ) defaultGenFrame (Frame shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "26368,26368,26368" lineStyle 2 lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (MLText va (VaSet ) xt "0,-1100,12900,-100" st "g0: FOR i IN 0 TO n GENERATE" tm "FrameTitleTextMgr" ) ) seqNum (FrameSequenceNumber ps "TopLeftStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "50,50,1250,1450" ) num (Text va (VaSet ) xt "250,250,1050,1250" st "1" blo "250,1050" tm "FrameSeqNumMgr" ) ) decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *65 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "13800,20000,22000,21100" st "Frame Declarations" blo "13800,20900" ) *66 (MLText va (VaSet ) xt "13800,21100,13800,21100" tm "BdFrameDeclTextMgr" ) ] ) ) defaultBlockFrame (Frame shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "26368,26368,26368" lineStyle 1 lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (MLText va (VaSet ) xt "0,-1100,7700,-100" st "b0: BLOCK (guard)" tm "FrameTitleTextMgr" ) ) seqNum (FrameSequenceNumber ps "TopLeftStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "50,50,1250,1450" ) num (Text va (VaSet ) xt "250,250,1050,1250" st "1" blo "250,1050" tm "FrameSeqNumMgr" ) ) decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *67 (Text va (VaSet font "Comic Sans MS,8,1" ) xt "13800,20000,22000,21100" st "Frame Declarations" blo "13800,20900" ) *68 (MLText va (VaSet ) xt "13800,21100,13800,21100" tm "BdFrameDeclTextMgr" ) ] ) style 3 ) defaultSaCptPort (CptPort ps "OnEdgeStrategy" shape (Triangle ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,2000,1750" st "Port" blo "0,1550" ) ) thePort (LogicalPort decl (Decl n "Port" t "" o 0 ) ) ) defaultSaCptPortBuffer (CptPort ps "OnEdgeStrategy" shape (Diamond va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,2000,1750" st "Port" blo "0,1550" ) ) thePort (LogicalPort m 3 decl (Decl n "Port" t "" o 0 ) ) ) defaultDeclText (MLText va (VaSet ) ) archDeclarativeBlock (BdArchDeclBlock uid 1,0 stg "BdArchDeclBlockLS" declLabel (Text uid 2,0 va (VaSet font "Comic Sans MS,8,1" ) xt "20000,0,25600,1100" st "Declarations" blo "20000,900" ) portLabel (Text uid 3,0 va (VaSet font "Comic Sans MS,8,1" ) xt "20000,1100,22800,2200" st "Ports:" blo "20000,2000" ) preUserLabel (Text uid 4,0 va (VaSet isHidden 1 font "Comic Sans MS,8,1" ) xt "20000,0,24100,1100" st "Pre User:" blo "20000,900" ) preUserText (MLText uid 5,0 va (VaSet isHidden 1 ) xt "20000,0,20000,0" tm "BdDeclarativeTextMgr" ) diagSignalLabel (Text uid 6,0 va (VaSet font "Comic Sans MS,8,1" ) xt "20000,2200,27200,3300" st "Diagram Signals:" blo "20000,3100" ) postUserLabel (Text uid 7,0 va (VaSet isHidden 1 font "Comic Sans MS,8,1" ) xt "20000,0,25000,1100" st "Post User:" blo "20000,900" ) postUserText (MLText uid 8,0 va (VaSet isHidden 1 ) xt "20000,0,20000,0" tm "BdDeclarativeTextMgr" ) ) commonDM (CommonDM ldm (LogicalDM suid 3,0 usingSuid 1 emptyRow *69 (LEmptyRow ) uid 140,0 optionalChildren [ *70 (RefLabelRowHdr ) *71 (TitleRowHdr ) *72 (FilterRowHdr ) *73 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *74 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *75 (GroupColHdr tm "GroupColHdrMgr" ) *76 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) *77 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) *78 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) *79 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) *80 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) *81 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) *82 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "dna" t "STD_LOGIC_VECTOR" b "(63 DOWNTO 0)" o 1 suid 1,0 ) ) uid 121,0 ) *83 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "ready" t "STD_LOGIC" o 2 suid 2,0 ) ) uid 123,0 ) *84 (LeafLogPort port (LogicalPort m 4 decl (Decl n "clk" t "STD_LOGIC" o 3 suid 3,0 ) ) uid 125,0 ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 153,0 optionalChildren [ *85 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *86 (MRCItem litem &69 pos 3 dimension 20 ) uid 155,0 optionalChildren [ *87 (MRCItem litem &70 pos 0 dimension 20 uid 156,0 ) *88 (MRCItem litem &71 pos 1 dimension 23 uid 157,0 ) *89 (MRCItem litem &72 pos 2 hidden 1 dimension 20 uid 158,0 ) *90 (MRCItem litem &82 pos 0 dimension 20 uid 122,0 ) *91 (MRCItem litem &83 pos 1 dimension 20 uid 124,0 ) *92 (MRCItem litem &84 pos 2 dimension 20 uid 126,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 159,0 optionalChildren [ *93 (MRCItem litem &73 pos 0 dimension 20 uid 160,0 ) *94 (MRCItem litem &75 pos 1 dimension 50 uid 161,0 ) *95 (MRCItem litem &76 pos 2 dimension 100 uid 162,0 ) *96 (MRCItem litem &77 pos 3 dimension 50 uid 163,0 ) *97 (MRCItem litem &78 pos 4 dimension 100 uid 164,0 ) *98 (MRCItem litem &79 pos 5 dimension 100 uid 165,0 ) *99 (MRCItem litem &80 pos 6 dimension 50 uid 166,0 ) *100 (MRCItem litem &81 pos 7 dimension 80 uid 167,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" uid 154,0 vaOverrides [ ] ) ] ) uid 139,0 ) genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *101 (LEmptyRow ) uid 169,0 optionalChildren [ *102 (RefLabelRowHdr ) *103 (TitleRowHdr ) *104 (FilterRowHdr ) *105 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *106 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *107 (GroupColHdr tm "GroupColHdrMgr" ) *108 (NameColHdr tm "GenericNameColHdrMgr" ) *109 (TypeColHdr tm "GenericTypeColHdrMgr" ) *110 (InitColHdr tm "GenericValueColHdrMgr" ) *111 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) *112 (EolColHdr tm "GenericEolColHdrMgr" ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 181,0 optionalChildren [ *113 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *114 (MRCItem litem &101 pos 0 dimension 20 ) uid 183,0 optionalChildren [ *115 (MRCItem litem &102 pos 0 dimension 20 uid 184,0 ) *116 (MRCItem litem &103 pos 1 dimension 23 uid 185,0 ) *117 (MRCItem litem &104 pos 2 hidden 1 dimension 20 uid 186,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 187,0 optionalChildren [ *118 (MRCItem litem &105 pos 0 dimension 20 uid 188,0 ) *119 (MRCItem litem &107 pos 1 dimension 50 uid 189,0 ) *120 (MRCItem litem &108 pos 2 dimension 100 uid 190,0 ) *121 (MRCItem litem &109 pos 3 dimension 100 uid 191,0 ) *122 (MRCItem litem &110 pos 4 dimension 50 uid 192,0 ) *123 (MRCItem litem &111 pos 5 dimension 50 uid 193,0 ) *124 (MRCItem litem &112 pos 6 dimension 80 uid 194,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" uid 182,0 vaOverrides [ ] ) ] ) uid 168,0 type 1 ) activeModelName "BlockDiag" )