source: firmware/FAD/FACT_FAD_TB_lib/hds/dna_gen_tb/symbol.sb

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 16.7 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5]
6)
7version "24.1"
8appVersion "2009.1 (Build 12)"
9model (Symbol
10commonDM (CommonDM
11ldm (LogicalDM
12usingSuid 1
13emptyRow *1 (LEmptyRow
14)
15uid 53,0
16optionalChildren [
17*2 (RefLabelRowHdr
18)
19*3 (TitleRowHdr
20)
21*4 (FilterRowHdr
22)
23*5 (RefLabelColHdr
24tm "RefLabelColHdrMgr"
25)
26*6 (RowExpandColHdr
27tm "RowExpandColHdrMgr"
28)
29*7 (GroupColHdr
30tm "GroupColHdrMgr"
31)
32*8 (NameColHdr
33tm "NameColHdrMgr"
34)
35*9 (ModeColHdr
36tm "ModeColHdrMgr"
37)
38*10 (TypeColHdr
39tm "TypeColHdrMgr"
40)
41*11 (BoundsColHdr
42tm "BoundsColHdrMgr"
43)
44*12 (InitColHdr
45tm "InitColHdrMgr"
46)
47*13 (EolColHdr
48tm "EolColHdrMgr"
49)
50]
51)
52pdm (PhysicalDM
53displayShortBounds 1
54editShortBounds 1
55uid 66,0
56optionalChildren [
57*14 (Sheet
58sheetRow (SheetRow
59headerVa (MVa
60cellColor "49152,49152,49152"
61fontColor "0,0,0"
62font "Tahoma,10,0"
63)
64cellVa (MVa
65cellColor "65535,65535,65535"
66fontColor "0,0,0"
67font "Tahoma,10,0"
68)
69groupVa (MVa
70cellColor "39936,56832,65280"
71fontColor "0,0,0"
72font "Tahoma,10,0"
73)
74emptyMRCItem *15 (MRCItem
75litem &1
76pos 3
77dimension 20
78)
79uid 68,0
80optionalChildren [
81*16 (MRCItem
82litem &2
83pos 0
84dimension 20
85uid 69,0
86)
87*17 (MRCItem
88litem &3
89pos 1
90dimension 23
91uid 70,0
92)
93*18 (MRCItem
94litem &4
95pos 2
96hidden 1
97dimension 20
98uid 71,0
99)
100]
101)
102sheetCol (SheetCol
103propVa (MVa
104cellColor "0,49152,49152"
105fontColor "0,0,0"
106font "Tahoma,10,0"
107textAngle 90
108)
109uid 72,0
110optionalChildren [
111*19 (MRCItem
112litem &5
113pos 0
114dimension 20
115uid 73,0
116)
117*20 (MRCItem
118litem &7
119pos 1
120dimension 50
121uid 74,0
122)
123*21 (MRCItem
124litem &8
125pos 2
126dimension 100
127uid 75,0
128)
129*22 (MRCItem
130litem &9
131pos 3
132dimension 50
133uid 76,0
134)
135*23 (MRCItem
136litem &10
137pos 4
138dimension 100
139uid 77,0
140)
141*24 (MRCItem
142litem &11
143pos 5
144dimension 100
145uid 78,0
146)
147*25 (MRCItem
148litem &12
149pos 6
150dimension 50
151uid 79,0
152)
153*26 (MRCItem
154litem &13
155pos 7
156dimension 80
157uid 80,0
158)
159]
160)
161fixedCol 4
162fixedRow 2
163name "Ports"
164uid 67,0
165vaOverrides [
166]
167)
168]
169)
170uid 52,0
171)
172genericsCommonDM (CommonDM
173ldm (LogicalDM
174emptyRow *27 (LEmptyRow
175)
176uid 82,0
177optionalChildren [
178*28 (RefLabelRowHdr
179)
180*29 (TitleRowHdr
181)
182*30 (FilterRowHdr
183)
184*31 (RefLabelColHdr
185tm "RefLabelColHdrMgr"
186)
187*32 (RowExpandColHdr
188tm "RowExpandColHdrMgr"
189)
190*33 (GroupColHdr
191tm "GroupColHdrMgr"
192)
193*34 (NameColHdr
194tm "GenericNameColHdrMgr"
195)
196*35 (TypeColHdr
197tm "GenericTypeColHdrMgr"
198)
199*36 (InitColHdr
200tm "GenericValueColHdrMgr"
201)
202*37 (PragmaColHdr
203tm "GenericPragmaColHdrMgr"
204)
205*38 (EolColHdr
206tm "GenericEolColHdrMgr"
207)
208]
209)
210pdm (PhysicalDM
211displayShortBounds 1
212editShortBounds 1
213uid 94,0
214optionalChildren [
215*39 (Sheet
216sheetRow (SheetRow
217headerVa (MVa
218cellColor "49152,49152,49152"
219fontColor "0,0,0"
220font "Tahoma,10,0"
221)
222cellVa (MVa
223cellColor "65535,65535,65535"
224fontColor "0,0,0"
225font "Tahoma,10,0"
226)
227groupVa (MVa
228cellColor "39936,56832,65280"
229fontColor "0,0,0"
230font "Tahoma,10,0"
231)
232emptyMRCItem *40 (MRCItem
233litem &27
234pos 3
235dimension 20
236)
237uid 96,0
238optionalChildren [
239*41 (MRCItem
240litem &28
241pos 0
242dimension 20
243uid 97,0
244)
245*42 (MRCItem
246litem &29
247pos 1
248dimension 23
249uid 98,0
250)
251*43 (MRCItem
252litem &30
253pos 2
254hidden 1
255dimension 20
256uid 99,0
257)
258]
259)
260sheetCol (SheetCol
261propVa (MVa
262cellColor "0,49152,49152"
263fontColor "0,0,0"
264font "Tahoma,10,0"
265textAngle 90
266)
267uid 100,0
268optionalChildren [
269*44 (MRCItem
270litem &31
271pos 0
272dimension 20
273uid 101,0
274)
275*45 (MRCItem
276litem &33
277pos 1
278dimension 50
279uid 102,0
280)
281*46 (MRCItem
282litem &34
283pos 2
284dimension 100
285uid 103,0
286)
287*47 (MRCItem
288litem &35
289pos 3
290dimension 100
291uid 104,0
292)
293*48 (MRCItem
294litem &36
295pos 4
296dimension 50
297uid 105,0
298)
299*49 (MRCItem
300litem &37
301pos 5
302dimension 50
303uid 106,0
304)
305*50 (MRCItem
306litem &38
307pos 6
308dimension 80
309uid 107,0
310)
311]
312)
313fixedCol 3
314fixedRow 2
315name "Ports"
316uid 95,0
317vaOverrides [
318]
319)
320]
321)
322uid 81,0
323type 1
324)
325VExpander (VariableExpander
326vvMap [
327(vvPair
328variable "HDLDir"
329value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
330)
331(vvPair
332variable "HDSDir"
333value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
334)
335(vvPair
336variable "SideDataDesignDir"
337value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\symbol.sb.info"
338)
339(vvPair
340variable "SideDataUserDir"
341value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\symbol.sb.user"
342)
343(vvPair
344variable "SourceDir"
345value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
346)
347(vvPair
348variable "appl"
349value "HDL Designer"
350)
351(vvPair
352variable "arch_name"
353value "symbol"
354)
355(vvPair
356variable "config"
357value "%(unit)_%(view)_config"
358)
359(vvPair
360variable "d"
361value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb"
362)
363(vvPair
364variable "d_logical"
365value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb"
366)
367(vvPair
368variable "date"
369value "03.03.2011"
370)
371(vvPair
372variable "day"
373value "Do"
374)
375(vvPair
376variable "day_long"
377value "Donnerstag"
378)
379(vvPair
380variable "dd"
381value "03"
382)
383(vvPair
384variable "entity_name"
385value "dna_gen_tb"
386)
387(vvPair
388variable "ext"
389value "<TBD>"
390)
391(vvPair
392variable "f"
393value "symbol.sb"
394)
395(vvPair
396variable "f_logical"
397value "symbol.sb"
398)
399(vvPair
400variable "f_noext"
401value "symbol"
402)
403(vvPair
404variable "group"
405value "UNKNOWN"
406)
407(vvPair
408variable "host"
409value "IHP110"
410)
411(vvPair
412variable "language"
413value "VHDL"
414)
415(vvPair
416variable "library"
417value "FACT_FAD_TB_lib"
418)
419(vvPair
420variable "library_downstream_HdsLintPlugin"
421value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
422)
423(vvPair
424variable "library_downstream_ISEPARInvoke"
425value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
426)
427(vvPair
428variable "library_downstream_ImpactInvoke"
429value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
430)
431(vvPair
432variable "library_downstream_ModelSimCompiler"
433value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
434)
435(vvPair
436variable "library_downstream_XSTDataPrep"
437value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
438)
439(vvPair
440variable "mm"
441value "03"
442)
443(vvPair
444variable "module_name"
445value "dna_gen_tb"
446)
447(vvPair
448variable "month"
449value "Mrz"
450)
451(vvPair
452variable "month_long"
453value "März"
454)
455(vvPair
456variable "p"
457value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\symbol.sb"
458)
459(vvPair
460variable "p_logical"
461value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\symbol.sb"
462)
463(vvPair
464variable "package_name"
465value "<Undefined Variable>"
466)
467(vvPair
468variable "project_name"
469value "FACT_FAD"
470)
471(vvPair
472variable "series"
473value "HDL Designer Series"
474)
475(vvPair
476variable "task_DesignCompilerPath"
477value "<TBD>"
478)
479(vvPair
480variable "task_LeonardoPath"
481value "<TBD>"
482)
483(vvPair
484variable "task_ModelSimPath"
485value "D:\\modeltech_6.5e\\win32"
486)
487(vvPair
488variable "task_NC-SimPath"
489value "<TBD>"
490)
491(vvPair
492variable "task_PrecisionRTLPath"
493value "<TBD>"
494)
495(vvPair
496variable "task_QuestaSimPath"
497value "<TBD>"
498)
499(vvPair
500variable "task_VCSPath"
501value "<TBD>"
502)
503(vvPair
504variable "this_ext"
505value "sb"
506)
507(vvPair
508variable "this_file"
509value "symbol"
510)
511(vvPair
512variable "this_file_logical"
513value "symbol"
514)
515(vvPair
516variable "time"
517value "09:56:52"
518)
519(vvPair
520variable "unit"
521value "dna_gen_tb"
522)
523(vvPair
524variable "user"
525value "daqct3"
526)
527(vvPair
528variable "version"
529value "2009.1 (Build 12)"
530)
531(vvPair
532variable "view"
533value "symbol"
534)
535(vvPair
536variable "year"
537value "2011"
538)
539(vvPair
540variable "yy"
541value "11"
542)
543]
544)
545LanguageMgr "VhdlLangMgr"
546uid 51,0
547optionalChildren [
548*51 (SymbolBody
549uid 8,0
550shape (Rectangle
551uid 9,0
552va (VaSet
553vasetType 1
554fg "0,65535,0"
555lineColor "0,32896,0"
556lineWidth 2
557)
558xt "15000,6000,35000,26000"
559)
560biTextGroup (BiTextGroup
561uid 10,0
562ps "CenterOffsetStrategy"
563stg "VerticalLayoutStrategy"
564first (Text
565uid 11,0
566va (VaSet
567font "Comic Sans MS,8,1"
568)
569xt "23000,14900,31400,16000"
570st "FACT_FAD_TB_lib"
571blo "23000,15800"
572)
573second (Text
574uid 12,0
575va (VaSet
576font "Comic Sans MS,8,1"
577)
578xt "23000,16000,28400,17100"
579st "dna_gen_tb"
580blo "23000,16900"
581)
582)
583gi *52 (GenericInterface
584uid 13,0
585ps "CenterOffsetStrategy"
586matrix (Matrix
587uid 14,0
588text (MLText
589uid 15,0
590va (VaSet
591)
592xt "0,12000,8900,13000"
593st "Generic Declarations"
594)
595header "Generic Declarations"
596showHdrWhenContentsEmpty 1
597)
598elements [
599]
600)
601portInstanceVisAsIs 1
602portInstanceVis (PortSigDisplay
603sIVOD 1
604)
605portVis (PortSigDisplay
606sIVOD 1
607)
608)
609*53 (Grouping
610uid 16,0
611optionalChildren [
612*54 (CommentText
613uid 18,0
614shape (Rectangle
615uid 19,0
616sl 0
617va (VaSet
618vasetType 1
619fg "65280,65280,46080"
620)
621xt "31000,50000,48000,51000"
622)
623oxt "18000,70000,35000,71000"
624text (MLText
625uid 20,0
626va (VaSet
627fg "0,0,32768"
628bg "0,0,32768"
629font "Arial,8,0"
630)
631xt "31200,50000,41000,51000"
632st "
633by %user on %dd %month %year
634"
635tm "CommentText"
636wrapOption 3
637visibleHeight 1000
638visibleWidth 17000
639)
640position 1
641ignorePrefs 1
642titleBlock 1
643)
644*55 (CommentText
645uid 21,0
646shape (Rectangle
647uid 22,0
648sl 0
649va (VaSet
650vasetType 1
651fg "65280,65280,46080"
652)
653xt "48000,46000,52000,47000"
654)
655oxt "35000,66000,39000,67000"
656text (MLText
657uid 23,0
658va (VaSet
659fg "0,0,32768"
660bg "0,0,32768"
661font "Arial,8,0"
662)
663xt "48200,46000,51200,47000"
664st "
665Project:
666"
667tm "CommentText"
668wrapOption 3
669visibleHeight 1000
670visibleWidth 4000
671)
672position 1
673ignorePrefs 1
674titleBlock 1
675)
676*56 (CommentText
677uid 24,0
678shape (Rectangle
679uid 25,0
680sl 0
681va (VaSet
682vasetType 1
683fg "65280,65280,46080"
684)
685xt "31000,48000,48000,49000"
686)
687oxt "18000,68000,35000,69000"
688text (MLText
689uid 26,0
690va (VaSet
691fg "0,0,32768"
692bg "0,0,32768"
693font "Arial,8,0"
694)
695xt "31200,48000,41200,49000"
696st "
697<enter diagram title here>
698"
699tm "CommentText"
700wrapOption 3
701visibleHeight 1000
702visibleWidth 17000
703)
704position 1
705ignorePrefs 1
706titleBlock 1
707)
708*57 (CommentText
709uid 27,0
710shape (Rectangle
711uid 28,0
712sl 0
713va (VaSet
714vasetType 1
715fg "65280,65280,46080"
716)
717xt "27000,48000,31000,49000"
718)
719oxt "14000,68000,18000,69000"
720text (MLText
721uid 29,0
722va (VaSet
723fg "0,0,32768"
724bg "0,0,32768"
725font "Arial,8,0"
726)
727xt "27200,48000,29300,49000"
728st "
729Title:
730"
731tm "CommentText"
732wrapOption 3
733visibleHeight 1000
734visibleWidth 4000
735)
736position 1
737ignorePrefs 1
738titleBlock 1
739)
740*58 (CommentText
741uid 30,0
742shape (Rectangle
743uid 31,0
744sl 0
745va (VaSet
746vasetType 1
747fg "65280,65280,46080"
748)
749xt "48000,47000,68000,51000"
750)
751oxt "35000,67000,55000,71000"
752text (MLText
753uid 32,0
754va (VaSet
755fg "0,0,32768"
756bg "0,0,32768"
757font "Arial,8,0"
758)
759xt "48200,47200,57400,48200"
760st "
761<enter comments here>
762"
763tm "CommentText"
764wrapOption 3
765visibleHeight 4000
766visibleWidth 20000
767)
768ignorePrefs 1
769titleBlock 1
770)
771*59 (CommentText
772uid 33,0
773shape (Rectangle
774uid 34,0
775sl 0
776va (VaSet
777vasetType 1
778fg "65280,65280,46080"
779)
780xt "52000,46000,68000,47000"
781)
782oxt "39000,66000,55000,67000"
783text (MLText
784uid 35,0
785va (VaSet
786fg "0,0,32768"
787bg "0,0,32768"
788font "Arial,8,0"
789)
790xt "52200,46000,56700,47000"
791st "
792%project_name
793"
794tm "CommentText"
795wrapOption 3
796visibleHeight 1000
797visibleWidth 16000
798)
799position 1
800ignorePrefs 1
801titleBlock 1
802)
803*60 (CommentText
804uid 36,0
805shape (Rectangle
806uid 37,0
807sl 0
808va (VaSet
809vasetType 1
810fg "65280,65280,46080"
811)
812xt "27000,46000,48000,48000"
813)
814oxt "14000,66000,35000,68000"
815text (MLText
816uid 38,0
817va (VaSet
818fg "32768,0,0"
819)
820xt "34200,46500,40800,47500"
821st "
822<company name>
823"
824ju 0
825tm "CommentText"
826wrapOption 3
827visibleHeight 2000
828visibleWidth 21000
829)
830position 1
831ignorePrefs 1
832titleBlock 1
833)
834*61 (CommentText
835uid 39,0
836shape (Rectangle
837uid 40,0
838sl 0
839va (VaSet
840vasetType 1
841fg "65280,65280,46080"
842)
843xt "27000,49000,31000,50000"
844)
845oxt "14000,69000,18000,70000"
846text (MLText
847uid 41,0
848va (VaSet
849fg "0,0,32768"
850bg "0,0,32768"
851font "Arial,8,0"
852)
853xt "27200,49000,29300,50000"
854st "
855Path:
856"
857tm "CommentText"
858wrapOption 3
859visibleHeight 1000
860visibleWidth 4000
861)
862position 1
863ignorePrefs 1
864titleBlock 1
865)
866*62 (CommentText
867uid 42,0
868shape (Rectangle
869uid 43,0
870sl 0
871va (VaSet
872vasetType 1
873fg "65280,65280,46080"
874)
875xt "27000,50000,31000,51000"
876)
877oxt "14000,70000,18000,71000"
878text (MLText
879uid 44,0
880va (VaSet
881fg "0,0,32768"
882bg "0,0,32768"
883font "Arial,8,0"
884)
885xt "27200,50000,29900,51000"
886st "
887Edited:
888"
889tm "CommentText"
890wrapOption 3
891visibleHeight 1000
892visibleWidth 4000
893)
894position 1
895ignorePrefs 1
896titleBlock 1
897)
898*63 (CommentText
899uid 45,0
900shape (Rectangle
901uid 46,0
902sl 0
903va (VaSet
904vasetType 1
905fg "65280,65280,46080"
906)
907xt "31000,49000,48000,50000"
908)
909oxt "18000,69000,35000,70000"
910text (MLText
911uid 47,0
912va (VaSet
913fg "0,0,32768"
914bg "0,0,32768"
915font "Arial,8,0"
916)
917xt "31200,49000,45700,50000"
918st "
919%library/%unit/%view
920"
921tm "CommentText"
922wrapOption 3
923visibleHeight 1000
924visibleWidth 17000
925)
926position 1
927ignorePrefs 1
928titleBlock 1
929)
930]
931shape (GroupingShape
932uid 17,0
933va (VaSet
934vasetType 1
935fg "65535,65535,65535"
936lineStyle 2
937lineWidth 2
938)
939xt "27000,46000,68000,51000"
940)
941oxt "14000,66000,55000,71000"
942)
943]
944bg "65535,65535,65535"
945grid (Grid
946origin "0,0"
947isVisible 1
948isActive 1
949xSpacing 1000
950xySpacing 1000
951xShown 1
952yShown 1
953color "26368,26368,26368"
954)
955packageList *64 (PackageList
956uid 48,0
957stg "VerticalLayoutStrategy"
958textVec [
959*65 (Text
960uid 49,0
961va (VaSet
962font "arial,8,1"
963)
964xt "0,0,5400,1000"
965st "Package List"
966blo "0,800"
967)
968*66 (MLText
969uid 50,0
970va (VaSet
971)
972xt "0,1000,11900,4000"
973tm "PackageList"
974)
975]
976)
977windowSize "0,0,1015,690"
978viewArea "0,0,0,0"
979cachedDiagramExtent "0,0,0,0"
980pageBreakOrigin "0,0"
981defaultCommentText (CommentText
982shape (Rectangle
983layer 0
984va (VaSet
985vasetType 1
986fg "65280,65280,46080"
987lineColor "0,0,32768"
988)
989xt "0,0,15000,5000"
990)
991text (MLText
992va (VaSet
993fg "0,0,32768"
994)
995xt "200,200,2400,1200"
996st "
997Text
998"
999tm "CommentText"
1000wrapOption 3
1001visibleHeight 4600
1002visibleWidth 14600
1003)
1004)
1005defaultPanel (Panel
1006shape (RectFrame
1007va (VaSet
1008vasetType 1
1009fg "65535,65535,65535"
1010lineColor "32768,0,0"
1011lineWidth 3
1012)
1013xt "0,0,20000,20000"
1014)
1015title (TextAssociate
1016ps "TopLeftStrategy"
1017text (Text
1018va (VaSet
1019font "Comic Sans MS,8,1"
1020)
1021xt "1000,1000,3800,2100"
1022st "Panel0"
1023blo "1000,1900"
1024tm "PanelText"
1025)
1026)
1027)
1028parentGraphicsRef (HdmGraphicsRef
1029libraryName ""
1030entityName ""
1031viewName ""
1032)
1033defaultSymbolBody (SymbolBody
1034shape (Rectangle
1035va (VaSet
1036vasetType 1
1037fg "0,65535,0"
1038lineColor "0,32896,0"
1039lineWidth 2
1040)
1041xt "15000,6000,35000,26000"
1042)
1043biTextGroup (BiTextGroup
1044ps "CenterOffsetStrategy"
1045stg "VerticalLayoutStrategy"
1046first (Text
1047va (VaSet
1048font "Comic Sans MS,8,1"
1049)
1050xt "23000,14900,27000,16000"
1051st "<library>"
1052blo "23000,15800"
1053)
1054second (Text
1055va (VaSet
1056font "Comic Sans MS,8,1"
1057)
1058xt "23000,16000,25700,17100"
1059st "<cell>"
1060blo "23000,16900"
1061)
1062)
1063gi *67 (GenericInterface
1064ps "CenterOffsetStrategy"
1065matrix (Matrix
1066text (MLText
1067va (VaSet
1068)
1069xt "0,12000,8900,13000"
1070st "Generic Declarations"
1071)
1072header "Generic Declarations"
1073showHdrWhenContentsEmpty 1
1074)
1075elements [
1076]
1077)
1078portInstanceVisAsIs 1
1079portInstanceVis (PortSigDisplay
1080sIVOD 1
1081)
1082portVis (PortSigDisplay
1083sIVOD 1
1084)
1085)
1086defaultCptPort (CptPort
1087ps "OnEdgeStrategy"
1088shape (Triangle
1089ro 90
1090va (VaSet
1091vasetType 1
1092fg "0,65535,0"
1093)
1094xt "0,0,750,750"
1095)
1096tg (CPTG
1097ps "CptPortTextPlaceStrategy"
1098stg "VerticalLayoutStrategy"
1099f (Text
1100va (VaSet
1101)
1102xt "0,750,1700,1750"
1103st "In0"
1104blo "0,1550"
1105tm "CptPortNameMgr"
1106)
1107)
1108dt (MLText
1109va (VaSet
1110)
1111)
1112thePort (LogicalPort
1113decl (Decl
1114n "In0"
1115t "std_logic_vector"
1116b "(15 DOWNTO 0)"
1117o 0
1118)
1119)
1120)
1121defaultCptPortBuffer (CptPort
1122ps "OnEdgeStrategy"
1123shape (Diamond
1124va (VaSet
1125vasetType 1
1126fg "65535,65535,65535"
1127bg "0,0,0"
1128)
1129xt "0,0,750,750"
1130)
1131tg (CPTG
1132ps "CptPortTextPlaceStrategy"
1133stg "VerticalLayoutStrategy"
1134f (Text
1135va (VaSet
1136)
1137xt "0,750,3400,1750"
1138st "Buffer0"
1139blo "0,1550"
1140tm "CptPortNameMgr"
1141)
1142)
1143dt (MLText
1144va (VaSet
1145)
1146)
1147thePort (LogicalPort
1148m 3
1149decl (Decl
1150n "Buffer0"
1151t "std_logic_vector"
1152b "(15 DOWNTO 0)"
1153o 0
1154)
1155)
1156)
1157DeclarativeBlock *68 (SymDeclBlock
1158uid 1,0
1159stg "SymDeclLayoutStrategy"
1160declLabel (Text
1161uid 2,0
1162va (VaSet
1163font "Comic Sans MS,8,1"
1164)
1165xt "42000,0,47600,1100"
1166st "Declarations"
1167blo "42000,900"
1168)
1169portLabel (Text
1170uid 3,0
1171va (VaSet
1172font "Comic Sans MS,8,1"
1173)
1174xt "42000,1100,44800,2200"
1175st "Ports:"
1176blo "42000,2000"
1177)
1178externalLabel (Text
1179uid 4,0
1180va (VaSet
1181font "Comic Sans MS,8,1"
1182)
1183xt "42000,2200,44600,3300"
1184st "User:"
1185blo "42000,3100"
1186)
1187internalLabel (Text
1188uid 6,0
1189va (VaSet
1190isHidden 1
1191font "Comic Sans MS,8,1"
1192)
1193xt "42000,0,48400,1100"
1194st "Internal User:"
1195blo "42000,900"
1196)
1197externalText (MLText
1198uid 5,0
1199va (VaSet
1200)
1201xt "44000,3300,44000,3300"
1202tm "SyDeclarativeTextMgr"
1203)
1204internalText (MLText
1205uid 7,0
1206va (VaSet
1207isHidden 1
1208)
1209xt "42000,0,42000,0"
1210tm "SyDeclarativeTextMgr"
1211)
1212)
1213lastUid 107,0
1214okToSyncOnLoad 1
1215OkToSyncGenericsOnLoad 1
1216activeModelName "Symbol:CDM"
1217)
Note: See TracBrowser for help on using the repository browser.