source: firmware/FAD/FACT_FAD_TB_lib/hds/dna_gen_tb/symbol.sb.bak

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 16.5 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13]
14libraryRefs [
15"ieee"
16]
17)
18version "24.1"
19appVersion "2009.1 (Build 12)"
20model (Symbol
21commonDM (CommonDM
22ldm (LogicalDM
23usingSuid 1
24emptyRow *1 (LEmptyRow
25)
26optionalChildren [
27*2 (RefLabelRowHdr
28)
29*3 (TitleRowHdr
30)
31*4 (FilterRowHdr
32)
33*5 (RefLabelColHdr
34tm "RefLabelColHdrMgr"
35)
36*6 (RowExpandColHdr
37tm "RowExpandColHdrMgr"
38)
39*7 (GroupColHdr
40tm "GroupColHdrMgr"
41)
42*8 (NameColHdr
43tm "NameColHdrMgr"
44)
45*9 (ModeColHdr
46tm "ModeColHdrMgr"
47)
48*10 (TypeColHdr
49tm "TypeColHdrMgr"
50)
51*11 (BoundsColHdr
52tm "BoundsColHdrMgr"
53)
54*12 (InitColHdr
55tm "InitColHdrMgr"
56)
57*13 (EolColHdr
58tm "EolColHdrMgr"
59)
60]
61)
62pdm (PhysicalDM
63displayShortBounds 1
64editShortBounds 1
65optionalChildren [
66*14 (Sheet
67sheetRow (SheetRow
68headerVa (MVa
69cellColor "49152,49152,49152"
70fontColor "0,0,0"
71font "Tahoma,10,0"
72)
73cellVa (MVa
74cellColor "65535,65535,65535"
75fontColor "0,0,0"
76font "Tahoma,10,0"
77)
78groupVa (MVa
79cellColor "39936,56832,65280"
80fontColor "0,0,0"
81font "Tahoma,10,0"
82)
83emptyMRCItem *15 (MRCItem
84litem &1
85pos 3
86dimension 20
87)
88optionalChildren [
89*16 (MRCItem
90litem &2
91pos 0
92dimension 20
93)
94*17 (MRCItem
95litem &3
96pos 1
97dimension 23
98)
99*18 (MRCItem
100litem &4
101pos 2
102hidden 1
103dimension 20
104)
105]
106)
107sheetCol (SheetCol
108propVa (MVa
109cellColor "0,49152,49152"
110fontColor "0,0,0"
111font "Tahoma,10,0"
112textAngle 90
113)
114optionalChildren [
115*19 (MRCItem
116litem &5
117pos 0
118dimension 20
119)
120*20 (MRCItem
121litem &7
122pos 1
123dimension 50
124)
125*21 (MRCItem
126litem &8
127pos 2
128dimension 100
129)
130*22 (MRCItem
131litem &9
132pos 3
133dimension 50
134)
135*23 (MRCItem
136litem &10
137pos 4
138dimension 100
139)
140*24 (MRCItem
141litem &11
142pos 5
143dimension 100
144)
145*25 (MRCItem
146litem &12
147pos 6
148dimension 50
149)
150*26 (MRCItem
151litem &13
152pos 7
153dimension 80
154)
155]
156)
157fixedCol 4
158fixedRow 2
159name "Ports"
160vaOverrides [
161]
162)
163]
164)
165)
166genericsCommonDM (CommonDM
167ldm (LogicalDM
168emptyRow *27 (LEmptyRow
169)
170optionalChildren [
171*28 (RefLabelRowHdr
172)
173*29 (TitleRowHdr
174)
175*30 (FilterRowHdr
176)
177*31 (RefLabelColHdr
178tm "RefLabelColHdrMgr"
179)
180*32 (RowExpandColHdr
181tm "RowExpandColHdrMgr"
182)
183*33 (GroupColHdr
184tm "GroupColHdrMgr"
185)
186*34 (NameColHdr
187tm "GenericNameColHdrMgr"
188)
189*35 (TypeColHdr
190tm "GenericTypeColHdrMgr"
191)
192*36 (InitColHdr
193tm "GenericValueColHdrMgr"
194)
195*37 (PragmaColHdr
196tm "GenericPragmaColHdrMgr"
197)
198*38 (EolColHdr
199tm "GenericEolColHdrMgr"
200)
201]
202)
203pdm (PhysicalDM
204displayShortBounds 1
205editShortBounds 1
206optionalChildren [
207*39 (Sheet
208sheetRow (SheetRow
209headerVa (MVa
210cellColor "49152,49152,49152"
211fontColor "0,0,0"
212font "Tahoma,10,0"
213)
214cellVa (MVa
215cellColor "65535,65535,65535"
216fontColor "0,0,0"
217font "Tahoma,10,0"
218)
219groupVa (MVa
220cellColor "39936,56832,65280"
221fontColor "0,0,0"
222font "Tahoma,10,0"
223)
224emptyMRCItem *40 (MRCItem
225litem &27
226pos 3
227dimension 20
228)
229optionalChildren [
230*41 (MRCItem
231litem &28
232pos 0
233dimension 20
234)
235*42 (MRCItem
236litem &29
237pos 1
238dimension 23
239)
240*43 (MRCItem
241litem &30
242pos 2
243hidden 1
244dimension 20
245)
246]
247)
248sheetCol (SheetCol
249propVa (MVa
250cellColor "0,49152,49152"
251fontColor "0,0,0"
252font "Tahoma,10,0"
253textAngle 90
254)
255optionalChildren [
256*44 (MRCItem
257litem &31
258pos 0
259dimension 20
260)
261*45 (MRCItem
262litem &33
263pos 1
264dimension 50
265)
266*46 (MRCItem
267litem &34
268pos 2
269dimension 100
270)
271*47 (MRCItem
272litem &35
273pos 3
274dimension 100
275)
276*48 (MRCItem
277litem &36
278pos 4
279dimension 50
280)
281*49 (MRCItem
282litem &37
283pos 5
284dimension 50
285)
286*50 (MRCItem
287litem &38
288pos 6
289dimension 80
290)
291]
292)
293fixedCol 3
294fixedRow 2
295name "Ports"
296vaOverrides [
297]
298)
299]
300)
301type 1
302)
303VExpander (VariableExpander
304vvMap [
305(vvPair
306variable "HDLDir"
307value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
308)
309(vvPair
310variable "HDSDir"
311value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
312)
313(vvPair
314variable "SideDataDesignDir"
315value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\symbol.sb.info"
316)
317(vvPair
318variable "SideDataUserDir"
319value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\symbol.sb.user"
320)
321(vvPair
322variable "SourceDir"
323value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
324)
325(vvPair
326variable "appl"
327value "HDL Designer"
328)
329(vvPair
330variable "arch_name"
331value "symbol"
332)
333(vvPair
334variable "config"
335value "%(unit)_%(view)_config"
336)
337(vvPair
338variable "d"
339value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb"
340)
341(vvPair
342variable "d_logical"
343value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb"
344)
345(vvPair
346variable "date"
347value "03.03.2011"
348)
349(vvPair
350variable "day"
351value "Do"
352)
353(vvPair
354variable "day_long"
355value "Donnerstag"
356)
357(vvPair
358variable "dd"
359value "03"
360)
361(vvPair
362variable "entity_name"
363value "dna_gen_tb"
364)
365(vvPair
366variable "ext"
367value "<TBD>"
368)
369(vvPair
370variable "f"
371value "symbol.sb"
372)
373(vvPair
374variable "f_logical"
375value "symbol.sb"
376)
377(vvPair
378variable "f_noext"
379value "symbol"
380)
381(vvPair
382variable "group"
383value "UNKNOWN"
384)
385(vvPair
386variable "host"
387value "IHP110"
388)
389(vvPair
390variable "language"
391value "VHDL"
392)
393(vvPair
394variable "library"
395value "FACT_FAD_TB_lib"
396)
397(vvPair
398variable "library_downstream_HdsLintPlugin"
399value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
400)
401(vvPair
402variable "library_downstream_ISEPARInvoke"
403value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
404)
405(vvPair
406variable "library_downstream_ImpactInvoke"
407value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
408)
409(vvPair
410variable "library_downstream_ModelSimCompiler"
411value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
412)
413(vvPair
414variable "library_downstream_XSTDataPrep"
415value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
416)
417(vvPair
418variable "mm"
419value "03"
420)
421(vvPair
422variable "module_name"
423value "dna_gen_tb"
424)
425(vvPair
426variable "month"
427value "Mrz"
428)
429(vvPair
430variable "month_long"
431value "März"
432)
433(vvPair
434variable "p"
435value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\symbol.sb"
436)
437(vvPair
438variable "p_logical"
439value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\dna_gen_tb\\symbol.sb"
440)
441(vvPair
442variable "package_name"
443value "<Undefined Variable>"
444)
445(vvPair
446variable "project_name"
447value "FACT_FAD"
448)
449(vvPair
450variable "series"
451value "HDL Designer Series"
452)
453(vvPair
454variable "task_DesignCompilerPath"
455value "<TBD>"
456)
457(vvPair
458variable "task_LeonardoPath"
459value "<TBD>"
460)
461(vvPair
462variable "task_ModelSimPath"
463value "D:\\modeltech_6.5e\\win32"
464)
465(vvPair
466variable "task_NC-SimPath"
467value "<TBD>"
468)
469(vvPair
470variable "task_PrecisionRTLPath"
471value "<TBD>"
472)
473(vvPair
474variable "task_QuestaSimPath"
475value "<TBD>"
476)
477(vvPair
478variable "task_VCSPath"
479value "<TBD>"
480)
481(vvPair
482variable "this_ext"
483value "sb"
484)
485(vvPair
486variable "this_file"
487value "symbol"
488)
489(vvPair
490variable "this_file_logical"
491value "symbol"
492)
493(vvPair
494variable "time"
495value "09:56:52"
496)
497(vvPair
498variable "unit"
499value "dna_gen_tb"
500)
501(vvPair
502variable "user"
503value "daqct3"
504)
505(vvPair
506variable "version"
507value "2009.1 (Build 12)"
508)
509(vvPair
510variable "view"
511value "symbol"
512)
513(vvPair
514variable "year"
515value "2011"
516)
517(vvPair
518variable "yy"
519value "11"
520)
521]
522)
523LanguageMgr "VhdlLangMgr"
524optionalChildren [
525*51 (SymbolBody
526uid 8,0
527shape (Rectangle
528uid 9,0
529va (VaSet
530vasetType 1
531fg "0,65535,0"
532lineColor "0,32896,0"
533lineWidth 2
534)
535xt "15000,6000,35000,26000"
536)
537biTextGroup (BiTextGroup
538uid 10,0
539ps "CenterOffsetStrategy"
540stg "VerticalLayoutStrategy"
541first (Text
542uid 11,0
543va (VaSet
544font "Comic Sans MS,8,1"
545)
546xt "23000,14900,31400,16000"
547st "FACT_FAD_TB_lib"
548blo "23000,15800"
549)
550second (Text
551uid 12,0
552va (VaSet
553font "Comic Sans MS,8,1"
554)
555xt "23000,16000,28400,17100"
556st "dna_gen_tb"
557blo "23000,16900"
558)
559)
560gi *52 (GenericInterface
561uid 13,0
562ps "CenterOffsetStrategy"
563matrix (Matrix
564uid 14,0
565text (MLText
566uid 15,0
567va (VaSet
568)
569xt "0,12000,8900,13000"
570st "Generic Declarations"
571)
572header "Generic Declarations"
573showHdrWhenContentsEmpty 1
574)
575elements [
576]
577)
578portInstanceVisAsIs 1
579portInstanceVis (PortSigDisplay
580sIVOD 1
581)
582portVis (PortSigDisplay
583sIVOD 1
584)
585)
586*53 (Grouping
587uid 16,0
588optionalChildren [
589*54 (CommentText
590uid 18,0
591shape (Rectangle
592uid 19,0
593sl 0
594va (VaSet
595vasetType 1
596fg "65280,65280,46080"
597)
598xt "31000,50000,48000,51000"
599)
600oxt "18000,70000,35000,71000"
601text (MLText
602uid 20,0
603va (VaSet
604fg "0,0,32768"
605bg "0,0,32768"
606font "Arial,8,0"
607)
608xt "31200,50000,41000,51000"
609st "
610by %user on %dd %month %year
611"
612tm "CommentText"
613wrapOption 3
614visibleHeight 1000
615visibleWidth 17000
616)
617position 1
618ignorePrefs 1
619titleBlock 1
620)
621*55 (CommentText
622uid 21,0
623shape (Rectangle
624uid 22,0
625sl 0
626va (VaSet
627vasetType 1
628fg "65280,65280,46080"
629)
630xt "48000,46000,52000,47000"
631)
632oxt "35000,66000,39000,67000"
633text (MLText
634uid 23,0
635va (VaSet
636fg "0,0,32768"
637bg "0,0,32768"
638font "Arial,8,0"
639)
640xt "48200,46000,51200,47000"
641st "
642Project:
643"
644tm "CommentText"
645wrapOption 3
646visibleHeight 1000
647visibleWidth 4000
648)
649position 1
650ignorePrefs 1
651titleBlock 1
652)
653*56 (CommentText
654uid 24,0
655shape (Rectangle
656uid 25,0
657sl 0
658va (VaSet
659vasetType 1
660fg "65280,65280,46080"
661)
662xt "31000,48000,48000,49000"
663)
664oxt "18000,68000,35000,69000"
665text (MLText
666uid 26,0
667va (VaSet
668fg "0,0,32768"
669bg "0,0,32768"
670font "Arial,8,0"
671)
672xt "31200,48000,41200,49000"
673st "
674<enter diagram title here>
675"
676tm "CommentText"
677wrapOption 3
678visibleHeight 1000
679visibleWidth 17000
680)
681position 1
682ignorePrefs 1
683titleBlock 1
684)
685*57 (CommentText
686uid 27,0
687shape (Rectangle
688uid 28,0
689sl 0
690va (VaSet
691vasetType 1
692fg "65280,65280,46080"
693)
694xt "27000,48000,31000,49000"
695)
696oxt "14000,68000,18000,69000"
697text (MLText
698uid 29,0
699va (VaSet
700fg "0,0,32768"
701bg "0,0,32768"
702font "Arial,8,0"
703)
704xt "27200,48000,29300,49000"
705st "
706Title:
707"
708tm "CommentText"
709wrapOption 3
710visibleHeight 1000
711visibleWidth 4000
712)
713position 1
714ignorePrefs 1
715titleBlock 1
716)
717*58 (CommentText
718uid 30,0
719shape (Rectangle
720uid 31,0
721sl 0
722va (VaSet
723vasetType 1
724fg "65280,65280,46080"
725)
726xt "48000,47000,68000,51000"
727)
728oxt "35000,67000,55000,71000"
729text (MLText
730uid 32,0
731va (VaSet
732fg "0,0,32768"
733bg "0,0,32768"
734font "Arial,8,0"
735)
736xt "48200,47200,57400,48200"
737st "
738<enter comments here>
739"
740tm "CommentText"
741wrapOption 3
742visibleHeight 4000
743visibleWidth 20000
744)
745ignorePrefs 1
746titleBlock 1
747)
748*59 (CommentText
749uid 33,0
750shape (Rectangle
751uid 34,0
752sl 0
753va (VaSet
754vasetType 1
755fg "65280,65280,46080"
756)
757xt "52000,46000,68000,47000"
758)
759oxt "39000,66000,55000,67000"
760text (MLText
761uid 35,0
762va (VaSet
763fg "0,0,32768"
764bg "0,0,32768"
765font "Arial,8,0"
766)
767xt "52200,46000,56700,47000"
768st "
769%project_name
770"
771tm "CommentText"
772wrapOption 3
773visibleHeight 1000
774visibleWidth 16000
775)
776position 1
777ignorePrefs 1
778titleBlock 1
779)
780*60 (CommentText
781uid 36,0
782shape (Rectangle
783uid 37,0
784sl 0
785va (VaSet
786vasetType 1
787fg "65280,65280,46080"
788)
789xt "27000,46000,48000,48000"
790)
791oxt "14000,66000,35000,68000"
792text (MLText
793uid 38,0
794va (VaSet
795fg "32768,0,0"
796)
797xt "34200,46500,40800,47500"
798st "
799<company name>
800"
801ju 0
802tm "CommentText"
803wrapOption 3
804visibleHeight 2000
805visibleWidth 21000
806)
807position 1
808ignorePrefs 1
809titleBlock 1
810)
811*61 (CommentText
812uid 39,0
813shape (Rectangle
814uid 40,0
815sl 0
816va (VaSet
817vasetType 1
818fg "65280,65280,46080"
819)
820xt "27000,49000,31000,50000"
821)
822oxt "14000,69000,18000,70000"
823text (MLText
824uid 41,0
825va (VaSet
826fg "0,0,32768"
827bg "0,0,32768"
828font "Arial,8,0"
829)
830xt "27200,49000,29300,50000"
831st "
832Path:
833"
834tm "CommentText"
835wrapOption 3
836visibleHeight 1000
837visibleWidth 4000
838)
839position 1
840ignorePrefs 1
841titleBlock 1
842)
843*62 (CommentText
844uid 42,0
845shape (Rectangle
846uid 43,0
847sl 0
848va (VaSet
849vasetType 1
850fg "65280,65280,46080"
851)
852xt "27000,50000,31000,51000"
853)
854oxt "14000,70000,18000,71000"
855text (MLText
856uid 44,0
857va (VaSet
858fg "0,0,32768"
859bg "0,0,32768"
860font "Arial,8,0"
861)
862xt "27200,50000,29900,51000"
863st "
864Edited:
865"
866tm "CommentText"
867wrapOption 3
868visibleHeight 1000
869visibleWidth 4000
870)
871position 1
872ignorePrefs 1
873titleBlock 1
874)
875*63 (CommentText
876uid 45,0
877shape (Rectangle
878uid 46,0
879sl 0
880va (VaSet
881vasetType 1
882fg "65280,65280,46080"
883)
884xt "31000,49000,48000,50000"
885)
886oxt "18000,69000,35000,70000"
887text (MLText
888uid 47,0
889va (VaSet
890fg "0,0,32768"
891bg "0,0,32768"
892font "Arial,8,0"
893)
894xt "31200,49000,40200,50000"
895st "
896%library/%unit/%view
897"
898tm "CommentText"
899wrapOption 3
900visibleHeight 1000
901visibleWidth 17000
902)
903position 1
904ignorePrefs 1
905titleBlock 1
906)
907]
908shape (GroupingShape
909uid 17,0
910va (VaSet
911vasetType 1
912fg "65535,65535,65535"
913lineStyle 2
914lineWidth 2
915)
916xt "27000,46000,68000,51000"
917)
918oxt "14000,66000,55000,71000"
919)
920]
921bg "65535,65535,65535"
922grid (Grid
923origin "0,0"
924isVisible 1
925isActive 1
926xSpacing 1000
927xySpacing 1000
928xShown 1
929yShown 1
930color "26368,26368,26368"
931)
932packageList *64 (PackageList
933uid 48,0
934stg "VerticalLayoutStrategy"
935textVec [
936*65 (Text
937uid 49,0
938va (VaSet
939font "arial,8,1"
940)
941xt "0,0,5400,1000"
942st "Package List"
943blo "0,800"
944)
945*66 (MLText
946uid 50,0
947va (VaSet
948)
949xt "0,1000,11900,4000"
950st "LIBRARY ieee;
951USE ieee.std_logic_1164.all;
952USE ieee.std_logic_arith.all;
953"
954tm "PackageList"
955)
956]
957)
958windowSize "0,0,1015,690"
959viewArea "0,0,0,0"
960cachedDiagramExtent "0,0,0,0"
961pageBreakOrigin "0,0"
962defaultCommentText (CommentText
963shape (Rectangle
964layer 0
965va (VaSet
966vasetType 1
967fg "65280,65280,46080"
968lineColor "0,0,32768"
969)
970xt "0,0,15000,5000"
971)
972text (MLText
973va (VaSet
974fg "0,0,32768"
975)
976xt "200,200,2400,1200"
977st "
978Text
979"
980tm "CommentText"
981wrapOption 3
982visibleHeight 4600
983visibleWidth 14600
984)
985)
986defaultPanel (Panel
987shape (RectFrame
988va (VaSet
989vasetType 1
990fg "65535,65535,65535"
991lineColor "32768,0,0"
992lineWidth 3
993)
994xt "0,0,20000,20000"
995)
996title (TextAssociate
997ps "TopLeftStrategy"
998text (Text
999va (VaSet
1000font "Comic Sans MS,8,1"
1001)
1002xt "1000,1000,3800,2100"
1003st "Panel0"
1004blo "1000,1900"
1005tm "PanelText"
1006)
1007)
1008)
1009parentGraphicsRef (HdmGraphicsRef
1010libraryName ""
1011entityName ""
1012viewName ""
1013)
1014defaultSymbolBody (SymbolBody
1015shape (Rectangle
1016va (VaSet
1017vasetType 1
1018fg "0,65535,0"
1019lineColor "0,32896,0"
1020lineWidth 2
1021)
1022xt "15000,6000,35000,26000"
1023)
1024biTextGroup (BiTextGroup
1025ps "CenterOffsetStrategy"
1026stg "VerticalLayoutStrategy"
1027first (Text
1028va (VaSet
1029font "Comic Sans MS,8,1"
1030)
1031xt "23000,14900,27000,16000"
1032st "<library>"
1033blo "23000,15800"
1034)
1035second (Text
1036va (VaSet
1037font "Comic Sans MS,8,1"
1038)
1039xt "23000,16000,25700,17100"
1040st "<cell>"
1041blo "23000,16900"
1042)
1043)
1044gi *67 (GenericInterface
1045ps "CenterOffsetStrategy"
1046matrix (Matrix
1047text (MLText
1048va (VaSet
1049)
1050xt "0,12000,8900,13000"
1051st "Generic Declarations"
1052)
1053header "Generic Declarations"
1054showHdrWhenContentsEmpty 1
1055)
1056elements [
1057]
1058)
1059portInstanceVisAsIs 1
1060portInstanceVis (PortSigDisplay
1061sIVOD 1
1062)
1063portVis (PortSigDisplay
1064sIVOD 1
1065)
1066)
1067defaultCptPort (CptPort
1068ps "OnEdgeStrategy"
1069shape (Triangle
1070ro 90
1071va (VaSet
1072vasetType 1
1073fg "0,65535,0"
1074)
1075xt "0,0,750,750"
1076)
1077tg (CPTG
1078ps "CptPortTextPlaceStrategy"
1079stg "VerticalLayoutStrategy"
1080f (Text
1081va (VaSet
1082)
1083xt "0,750,1700,1750"
1084st "In0"
1085blo "0,1550"
1086tm "CptPortNameMgr"
1087)
1088)
1089dt (MLText
1090va (VaSet
1091)
1092)
1093thePort (LogicalPort
1094decl (Decl
1095n "In0"
1096t "std_logic_vector"
1097b "(15 DOWNTO 0)"
1098o 0
1099)
1100)
1101)
1102defaultCptPortBuffer (CptPort
1103ps "OnEdgeStrategy"
1104shape (Diamond
1105va (VaSet
1106vasetType 1
1107fg "65535,65535,65535"
1108bg "0,0,0"
1109)
1110xt "0,0,750,750"
1111)
1112tg (CPTG
1113ps "CptPortTextPlaceStrategy"
1114stg "VerticalLayoutStrategy"
1115f (Text
1116va (VaSet
1117)
1118xt "0,750,3400,1750"
1119st "Buffer0"
1120blo "0,1550"
1121tm "CptPortNameMgr"
1122)
1123)
1124dt (MLText
1125va (VaSet
1126)
1127)
1128thePort (LogicalPort
1129m 3
1130decl (Decl
1131n "Buffer0"
1132t "std_logic_vector"
1133b "(15 DOWNTO 0)"
1134o 0
1135)
1136)
1137)
1138DeclarativeBlock *68 (SymDeclBlock
1139uid 1,0
1140stg "SymDeclLayoutStrategy"
1141declLabel (Text
1142uid 2,0
1143va (VaSet
1144font "Comic Sans MS,8,1"
1145)
1146xt "42000,0,47600,1100"
1147st "Declarations"
1148blo "42000,900"
1149)
1150portLabel (Text
1151uid 3,0
1152va (VaSet
1153font "Comic Sans MS,8,1"
1154)
1155xt "42000,1100,44800,2200"
1156st "Ports:"
1157blo "42000,2000"
1158)
1159externalLabel (Text
1160uid 4,0
1161va (VaSet
1162font "Comic Sans MS,8,1"
1163)
1164xt "42000,2200,44600,3300"
1165st "User:"
1166blo "42000,3100"
1167)
1168internalLabel (Text
1169uid 6,0
1170va (VaSet
1171isHidden 1
1172font "Comic Sans MS,8,1"
1173)
1174xt "42000,0,48400,1100"
1175st "Internal User:"
1176blo "42000,900"
1177)
1178externalText (MLText
1179uid 5,0
1180va (VaSet
1181)
1182xt "44000,3300,44000,3300"
1183tm "SyDeclarativeTextMgr"
1184)
1185internalText (MLText
1186uid 7,0
1187va (VaSet
1188isHidden 1
1189)
1190xt "42000,0,42000,0"
1191tm "SyDeclarativeTextMgr"
1192)
1193)
1194lastUid 50,0
1195activeModelName "Symbol:CDM"
1196)
Note: See TracBrowser for help on using the repository browser.