source: firmware/FAD/FACT_FAD_TB_lib/hds/fad_main_tb_w5300_2/struct.bd

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 126.8 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13(DmPackageRef
14library "ieee"
15unitName "std_logic_unsigned"
16)
17(DmPackageRef
18library "FACT_FAD_lib"
19unitName "fad_definitions"
20)
21(DmPackageRef
22library "ieee"
23unitName "std_logic_textio"
24)
25(DmPackageRef
26library "std"
27unitName "textio"
28)
29]
30instances [
31(Instance
32name "I_mainTB_clock"
33duLibraryName "FACT_FAD_TB_lib"
34duName "clock_generator"
35elements [
36(GiElement
37name "clock_period"
38type "time"
39value "20 ns"
40)
41(GiElement
42name "reset_time"
43type "time"
44value "50 ns"
45)
46]
47mwi 0
48uid 274,0
49)
50(Instance
51name "I_mainTB_max6662"
52duLibraryName "FACT_FAD_TB_lib"
53duName "max6662_emulator"
54elements [
55(GiElement
56name "DRS_TEMPERATURE"
57type "integer"
58value "51"
59)
60]
61mwi 0
62uid 362,0
63)
64(Instance
65name "I_mainTB_trigger"
66duLibraryName "FACT_FAD_TB_lib"
67duName "trigger_generator"
68elements [
69(GiElement
70name "TRIGGER_RATE"
71type "time"
72value "1 ms"
73)
74(GiElement
75name "PULSE_WIDTH"
76type "time"
77value "20 ns"
78)
79]
80mwi 0
81uid 414,0
82)
83(Instance
84name "I_mainTB_adc"
85duLibraryName "FACT_FAD_TB_lib"
86duName "adc_emulator"
87elements [
88(GiElement
89name "INPUT_FILE"
90type "string"
91value "\"../memory_files/analog_input_ch0.txt\""
92)
93]
94mwi 0
95uid 508,0
96)
97(Instance
98name "I_mainTB_clock1"
99duLibraryName "FACT_FAD_TB_lib"
100duName "clock_generator"
101elements [
102(GiElement
103name "clock_period"
104type "time"
105value "1 us"
106)
107(GiElement
108name "reset_time"
109type "time"
110value "1 us"
111)
112]
113mwi 0
114uid 1509,0
115)
116(Instance
117name "I_mainTB_w5300"
118duLibraryName "FACT_FAD_TB_lib"
119duName "w5300_emulator"
120elements [
121]
122mwi 0
123uid 2336,0
124)
125(Instance
126name "I0"
127duLibraryName "FACT_FAD_lib"
128duName "FAD_main_with_w53002"
129elements [
130(GiElement
131name "RAMADDRWIDTH64b"
132type "integer"
133value "15"
134)
135]
136mwi 0
137uid 3285,0
138)
139]
140embeddedInstances [
141(EmbeddedInstance
142name "eb_mainTB_ID"
143number "1"
144)
145(EmbeddedInstance
146name "eb_mainTB_adc"
147number "2"
148)
149(EmbeddedInstance
150name "eb_mainTB_adc1"
151number "3"
152)
153]
154libraryRefs [
155"ieee"
156"FACT_FAD_lib"
157"std"
158]
159)
160version "29.1"
161appVersion "2009.2 (Build 10)"
162noEmbeddedEditors 1
163model (BlockDiag
164VExpander (VariableExpander
165vvMap [
166(vvPair
167variable "HDLDir"
168value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
169)
170(vvPair
171variable "HDSDir"
172value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
173)
174(vvPair
175variable "SideDataDesignDir"
176value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb_w5300_2\\struct.bd.info"
177)
178(vvPair
179variable "SideDataUserDir"
180value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb_w5300_2\\struct.bd.user"
181)
182(vvPair
183variable "SourceDir"
184value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
185)
186(vvPair
187variable "appl"
188value "HDL Designer"
189)
190(vvPair
191variable "arch_name"
192value "struct"
193)
194(vvPair
195variable "config"
196value "%(unit)_%(view)_config"
197)
198(vvPair
199variable "d"
200value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb_w5300_2"
201)
202(vvPair
203variable "d_logical"
204value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb_w5300_2"
205)
206(vvPair
207variable "date"
208value "01.06.2011"
209)
210(vvPair
211variable "day"
212value "Mi"
213)
214(vvPair
215variable "day_long"
216value "Mittwoch"
217)
218(vvPair
219variable "dd"
220value "01"
221)
222(vvPair
223variable "entity_name"
224value "fad_main_tb_w5300_2"
225)
226(vvPair
227variable "ext"
228value "<TBD>"
229)
230(vvPair
231variable "f"
232value "struct.bd"
233)
234(vvPair
235variable "f_logical"
236value "struct.bd"
237)
238(vvPair
239variable "f_noext"
240value "struct"
241)
242(vvPair
243variable "group"
244value "UNKNOWN"
245)
246(vvPair
247variable "host"
248value "E5B-LABOR6"
249)
250(vvPair
251variable "language"
252value "VHDL"
253)
254(vvPair
255variable "library"
256value "FACT_FAD_TB_lib"
257)
258(vvPair
259variable "library_downstream_HdsLintPlugin"
260value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
261)
262(vvPair
263variable "library_downstream_ISEPARInvoke"
264value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
265)
266(vvPair
267variable "library_downstream_ImpactInvoke"
268value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
269)
270(vvPair
271variable "library_downstream_ModelSimCompiler"
272value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
273)
274(vvPair
275variable "library_downstream_XSTDataPrep"
276value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
277)
278(vvPair
279variable "mm"
280value "06"
281)
282(vvPair
283variable "module_name"
284value "fad_main_tb_w5300_2"
285)
286(vvPair
287variable "month"
288value "Jun"
289)
290(vvPair
291variable "month_long"
292value "Juni"
293)
294(vvPair
295variable "p"
296value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb_w5300_2\\struct.bd"
297)
298(vvPair
299variable "p_logical"
300value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\fad_main_tb_w5300_2\\struct.bd"
301)
302(vvPair
303variable "package_name"
304value "<Undefined Variable>"
305)
306(vvPair
307variable "project_name"
308value "FACT_FAD"
309)
310(vvPair
311variable "series"
312value "HDL Designer Series"
313)
314(vvPair
315variable "task_DesignCompilerPath"
316value "<TBD>"
317)
318(vvPair
319variable "task_LeonardoPath"
320value "<TBD>"
321)
322(vvPair
323variable "task_ModelSimPath"
324value "C:\\modeltech_6.6a\\win32"
325)
326(vvPair
327variable "task_NC-SimPath"
328value "<TBD>"
329)
330(vvPair
331variable "task_PrecisionRTLPath"
332value "<TBD>"
333)
334(vvPair
335variable "task_QuestaSimPath"
336value "<TBD>"
337)
338(vvPair
339variable "task_VCSPath"
340value "<TBD>"
341)
342(vvPair
343variable "this_ext"
344value "bd"
345)
346(vvPair
347variable "this_file"
348value "struct"
349)
350(vvPair
351variable "this_file_logical"
352value "struct"
353)
354(vvPair
355variable "time"
356value "13:20:35"
357)
358(vvPair
359variable "unit"
360value "fad_main_tb_w5300_2"
361)
362(vvPair
363variable "user"
364value "dneise"
365)
366(vvPair
367variable "version"
368value "2009.2 (Build 10)"
369)
370(vvPair
371variable "view"
372value "struct"
373)
374(vvPair
375variable "year"
376value "2011"
377)
378(vvPair
379variable "yy"
380value "11"
381)
382]
383)
384LanguageMgr "VhdlLangMgr"
385uid 52,0
386optionalChildren [
387*1 (Grouping
388uid 9,0
389optionalChildren [
390*2 (CommentText
391uid 11,0
392shape (Rectangle
393uid 12,0
394sl 0
395va (VaSet
396vasetType 1
397fg "65280,65280,46080"
398)
399xt "109000,97000,126000,98000"
400)
401oxt "18000,70000,35000,71000"
402text (MLText
403uid 13,0
404va (VaSet
405fg "0,0,32768"
406bg "0,0,32768"
407)
408xt "109200,97000,118700,98000"
409st "
410by %user on %dd %month %year
411"
412tm "CommentText"
413wrapOption 3
414visibleHeight 1000
415visibleWidth 17000
416)
417position 1
418ignorePrefs 1
419titleBlock 1
420)
421*3 (CommentText
422uid 14,0
423shape (Rectangle
424uid 15,0
425sl 0
426va (VaSet
427vasetType 1
428fg "65280,65280,46080"
429)
430xt "126000,93000,130000,94000"
431)
432oxt "35000,66000,39000,67000"
433text (MLText
434uid 16,0
435va (VaSet
436fg "0,0,32768"
437bg "0,0,32768"
438)
439xt "126200,93000,129200,94000"
440st "
441Project:
442"
443tm "CommentText"
444wrapOption 3
445visibleHeight 1000
446visibleWidth 4000
447)
448position 1
449ignorePrefs 1
450titleBlock 1
451)
452*4 (CommentText
453uid 17,0
454shape (Rectangle
455uid 18,0
456sl 0
457va (VaSet
458vasetType 1
459fg "65280,65280,46080"
460)
461xt "109000,95000,126000,96000"
462)
463oxt "18000,68000,35000,69000"
464text (MLText
465uid 19,0
466va (VaSet
467fg "0,0,32768"
468bg "0,0,32768"
469)
470xt "109200,95000,119200,96000"
471st "
472<enter diagram title here>
473"
474tm "CommentText"
475wrapOption 3
476visibleHeight 1000
477visibleWidth 17000
478)
479position 1
480ignorePrefs 1
481titleBlock 1
482)
483*5 (CommentText
484uid 20,0
485shape (Rectangle
486uid 21,0
487sl 0
488va (VaSet
489vasetType 1
490fg "65280,65280,46080"
491)
492xt "105000,95000,109000,96000"
493)
494oxt "14000,68000,18000,69000"
495text (MLText
496uid 22,0
497va (VaSet
498fg "0,0,32768"
499bg "0,0,32768"
500)
501xt "105200,95000,107300,96000"
502st "
503Title:
504"
505tm "CommentText"
506wrapOption 3
507visibleHeight 1000
508visibleWidth 4000
509)
510position 1
511ignorePrefs 1
512titleBlock 1
513)
514*6 (CommentText
515uid 23,0
516shape (Rectangle
517uid 24,0
518sl 0
519va (VaSet
520vasetType 1
521fg "65280,65280,46080"
522)
523xt "126000,94000,146000,98000"
524)
525oxt "35000,67000,55000,71000"
526text (MLText
527uid 25,0
528va (VaSet
529fg "0,0,32768"
530bg "0,0,32768"
531)
532xt "126200,94200,135400,95200"
533st "
534<enter comments here>
535"
536tm "CommentText"
537wrapOption 3
538visibleHeight 4000
539visibleWidth 20000
540)
541ignorePrefs 1
542titleBlock 1
543)
544*7 (CommentText
545uid 26,0
546shape (Rectangle
547uid 27,0
548sl 0
549va (VaSet
550vasetType 1
551fg "65280,65280,46080"
552)
553xt "130000,93000,146000,94000"
554)
555oxt "39000,66000,55000,67000"
556text (MLText
557uid 28,0
558va (VaSet
559fg "0,0,32768"
560bg "0,0,32768"
561)
562xt "130200,93000,134700,94000"
563st "
564%project_name
565"
566tm "CommentText"
567wrapOption 3
568visibleHeight 1000
569visibleWidth 16000
570)
571position 1
572ignorePrefs 1
573titleBlock 1
574)
575*8 (CommentText
576uid 29,0
577shape (Rectangle
578uid 30,0
579sl 0
580va (VaSet
581vasetType 1
582fg "65280,65280,46080"
583)
584xt "105000,93000,126000,95000"
585)
586oxt "14000,66000,35000,68000"
587text (MLText
588uid 31,0
589va (VaSet
590fg "32768,0,0"
591)
592xt "112700,93000,118300,95000"
593st "
594TU Dortmund
595Physik / EE
596"
597ju 0
598tm "CommentText"
599wrapOption 3
600visibleHeight 2000
601visibleWidth 21000
602)
603position 1
604ignorePrefs 1
605titleBlock 1
606)
607*9 (CommentText
608uid 32,0
609shape (Rectangle
610uid 33,0
611sl 0
612va (VaSet
613vasetType 1
614fg "65280,65280,46080"
615)
616xt "105000,96000,109000,97000"
617)
618oxt "14000,69000,18000,70000"
619text (MLText
620uid 34,0
621va (VaSet
622fg "0,0,32768"
623bg "0,0,32768"
624)
625xt "105200,96000,107300,97000"
626st "
627Path:
628"
629tm "CommentText"
630wrapOption 3
631visibleHeight 1000
632visibleWidth 4000
633)
634position 1
635ignorePrefs 1
636titleBlock 1
637)
638*10 (CommentText
639uid 35,0
640shape (Rectangle
641uid 36,0
642sl 0
643va (VaSet
644vasetType 1
645fg "65280,65280,46080"
646)
647xt "105000,97000,109000,98000"
648)
649oxt "14000,70000,18000,71000"
650text (MLText
651uid 37,0
652va (VaSet
653fg "0,0,32768"
654bg "0,0,32768"
655)
656xt "105200,97000,107900,98000"
657st "
658Edited:
659"
660tm "CommentText"
661wrapOption 3
662visibleHeight 1000
663visibleWidth 4000
664)
665position 1
666ignorePrefs 1
667titleBlock 1
668)
669*11 (CommentText
670uid 38,0
671shape (Rectangle
672uid 39,0
673sl 0
674va (VaSet
675vasetType 1
676fg "65280,65280,46080"
677)
678xt "109000,96000,126000,97000"
679)
680oxt "18000,69000,35000,70000"
681text (MLText
682uid 40,0
683va (VaSet
684fg "0,0,32768"
685bg "0,0,32768"
686)
687xt "109200,96000,124800,97000"
688st "
689%library/%unit/%view
690"
691tm "CommentText"
692wrapOption 3
693visibleHeight 1000
694visibleWidth 17000
695)
696position 1
697ignorePrefs 1
698titleBlock 1
699)
700]
701shape (GroupingShape
702uid 10,0
703va (VaSet
704vasetType 1
705fg "65535,65535,65535"
706lineStyle 2
707lineWidth 2
708)
709xt "105000,93000,146000,98000"
710)
711oxt "14000,66000,55000,71000"
712)
713*12 (SaComponent
714uid 274,0
715optionalChildren [
716*13 (CptPort
717uid 266,0
718ps "OnEdgeStrategy"
719shape (Triangle
720uid 267,0
721ro 90
722va (VaSet
723vasetType 1
724fg "0,65535,0"
725)
726xt "58000,20625,58750,21375"
727)
728tg (CPTG
729uid 268,0
730ps "CptPortTextPlaceStrategy"
731stg "RightVerticalLayoutStrategy"
732f (Text
733uid 269,0
734va (VaSet
735)
736xt "55700,20500,57000,21500"
737st "clk"
738ju 2
739blo "57000,21300"
740)
741)
742thePort (LogicalPort
743m 1
744decl (Decl
745n "clk"
746t "STD_LOGIC"
747o 1
748i "'0'"
749)
750)
751)
752*14 (CptPort
753uid 270,0
754ps "OnEdgeStrategy"
755shape (Triangle
756uid 271,0
757ro 90
758va (VaSet
759vasetType 1
760fg "0,65535,0"
761)
762xt "58000,21625,58750,22375"
763)
764tg (CPTG
765uid 272,0
766ps "CptPortTextPlaceStrategy"
767stg "RightVerticalLayoutStrategy"
768f (Text
769uid 273,0
770va (VaSet
771)
772xt "55700,21500,57000,22500"
773st "rst"
774ju 2
775blo "57000,22300"
776)
777)
778thePort (LogicalPort
779m 1
780decl (Decl
781n "rst"
782t "STD_LOGIC"
783o 2
784i "'0'"
785)
786)
787)
788]
789shape (Rectangle
790uid 275,0
791va (VaSet
792vasetType 1
793fg "0,49152,49152"
794lineColor "0,0,50000"
795lineWidth 2
796)
797xt "50000,19000,58000,24000"
798)
799oxt "0,0,8000,10000"
800ttg (MlTextGroup
801uid 276,0
802ps "CenterOffsetStrategy"
803stg "VerticalLayoutStrategy"
804textVec [
805*15 (Text
806uid 277,0
807va (VaSet
808font "Arial,8,1"
809)
810xt "50150,24000,57850,25000"
811st "FACT_FAD_TB_lib"
812blo "50150,24800"
813tm "BdLibraryNameMgr"
814)
815*16 (Text
816uid 278,0
817va (VaSet
818font "Arial,8,1"
819)
820xt "50150,25000,56850,26000"
821st "clock_generator"
822blo "50150,25800"
823tm "CptNameMgr"
824)
825*17 (Text
826uid 279,0
827va (VaSet
828font "Arial,8,1"
829)
830xt "50150,26000,56750,27000"
831st "I_mainTB_clock"
832blo "50150,26800"
833tm "InstanceNameMgr"
834)
835]
836)
837ga (GenericAssociation
838uid 280,0
839ps "EdgeToEdgeStrategy"
840matrix (Matrix
841uid 281,0
842text (MLText
843uid 282,0
844va (VaSet
845font "Courier New,8,0"
846)
847xt "50000,17400,68500,19000"
848st "clock_period = 20 ns ( time )
849reset_time = 50 ns ( time ) "
850)
851header ""
852)
853elements [
854(GiElement
855name "clock_period"
856type "time"
857value "20 ns"
858)
859(GiElement
860name "reset_time"
861type "time"
862value "50 ns"
863)
864]
865)
866viewicon (ZoomableIcon
867uid 283,0
868sl 0
869va (VaSet
870vasetType 1
871fg "49152,49152,49152"
872)
873xt "50250,22250,51750,23750"
874iconName "VhdlFileViewIcon.png"
875iconMaskName "VhdlFileViewIcon.msk"
876ftype 10
877)
878ordering 1
879viewiconposition 0
880portVis (PortSigDisplay
881)
882archFileType "UNKNOWN"
883)
884*18 (Net
885uid 284,0
886decl (Decl
887n "clk"
888t "STD_LOGIC"
889preAdd 0
890posAdd 0
891o 1
892suid 1,0
893)
894declText (MLText
895uid 285,0
896va (VaSet
897font "Courier New,8,0"
898)
899xt "-90000,46200,-68000,47000"
900st "SIGNAL clk : STD_LOGIC
901"
902)
903)
904*19 (Net
905uid 316,0
906decl (Decl
907n "wiz_addr"
908t "std_logic_vector"
909b "(9 DOWNTO 0)"
910o 2
911suid 2,0
912)
913declText (MLText
914uid 317,0
915va (VaSet
916font "Courier New,8,0"
917)
918xt "-90000,63800,-58500,64600"
919st "SIGNAL wiz_addr : std_logic_vector(9 DOWNTO 0)
920"
921)
922)
923*20 (Net
924uid 322,0
925decl (Decl
926n "wiz_data"
927t "std_logic_vector"
928b "(15 DOWNTO 0)"
929o 3
930suid 3,0
931)
932declText (MLText
933uid 323,0
934va (VaSet
935font "Courier New,8,0"
936)
937xt "-90000,65400,-58000,66200"
938st "SIGNAL wiz_data : std_logic_vector(15 DOWNTO 0)
939"
940)
941)
942*21 (Net
943uid 328,0
944decl (Decl
945n "wiz_rd"
946t "std_logic"
947o 4
948suid 4,0
949i "'1'"
950)
951declText (MLText
952uid 329,0
953va (VaSet
954font "Courier New,8,0"
955)
956xt "-90000,67000,-55000,67800"
957st "SIGNAL wiz_rd : std_logic := '1'
958"
959)
960)
961*22 (Net
962uid 334,0
963decl (Decl
964n "wiz_wr"
965t "std_logic"
966o 5
967suid 5,0
968i "'1'"
969)
970declText (MLText
971uid 335,0
972va (VaSet
973font "Courier New,8,0"
974)
975xt "-90000,68600,-55000,69400"
976st "SIGNAL wiz_wr : std_logic := '1'
977"
978)
979)
980*23 (SaComponent
981uid 362,0
982optionalChildren [
983*24 (CptPort
984uid 350,0
985ps "OnEdgeStrategy"
986shape (Triangle
987uid 351,0
988ro 90
989va (VaSet
990vasetType 1
991fg "0,65535,0"
992)
993xt "122250,50625,123000,51375"
994)
995tg (CPTG
996uid 352,0
997ps "CptPortTextPlaceStrategy"
998stg "VerticalLayoutStrategy"
999f (Text
1000uid 353,0
1001va (VaSet
1002)
1003xt "124000,50500,125700,51500"
1004st "sclk"
1005blo "124000,51300"
1006)
1007)
1008thePort (LogicalPort
1009decl (Decl
1010n "sclk"
1011t "std_logic"
1012preAdd 0
1013posAdd 0
1014o 1
1015suid 1,0
1016)
1017)
1018)
1019*25 (CptPort
1020uid 354,0
1021ps "OnEdgeStrategy"
1022shape (Diamond
1023uid 355,0
1024ro 270
1025va (VaSet
1026vasetType 1
1027fg "0,65535,0"
1028)
1029xt "122250,51625,123000,52375"
1030)
1031tg (CPTG
1032uid 356,0
1033ps "CptPortTextPlaceStrategy"
1034stg "VerticalLayoutStrategy"
1035f (Text
1036uid 357,0
1037va (VaSet
1038)
1039xt "124000,51500,125400,52500"
1040st "sio"
1041blo "124000,52300"
1042)
1043)
1044thePort (LogicalPort
1045m 2
1046decl (Decl
1047n "sio"
1048t "std_logic"
1049preAdd 0
1050posAdd 0
1051o 2
1052suid 2,0
1053)
1054)
1055)
1056*26 (CptPort
1057uid 358,0
1058ps "OnEdgeStrategy"
1059shape (Triangle
1060uid 359,0
1061ro 90
1062va (VaSet
1063vasetType 1
1064fg "0,65535,0"
1065)
1066xt "122250,47625,123000,48375"
1067)
1068tg (CPTG
1069uid 360,0
1070ps "CptPortTextPlaceStrategy"
1071stg "VerticalLayoutStrategy"
1072f (Text
1073uid 361,0
1074va (VaSet
1075)
1076xt "124000,47500,130500,48500"
1077st "sensor_cs : (3:0)"
1078blo "124000,48300"
1079)
1080)
1081thePort (LogicalPort
1082decl (Decl
1083n "sensor_cs"
1084t "std_logic_vector"
1085b "(3 downto 0)"
1086preAdd 0
1087posAdd 0
1088o 3
1089suid 3,0
1090)
1091)
1092)
1093]
1094shape (Rectangle
1095uid 363,0
1096va (VaSet
1097vasetType 1
1098fg "0,49152,49152"
1099lineColor "0,0,50000"
1100lineWidth 2
1101)
1102xt "123000,46000,133000,56000"
1103)
1104oxt "30000,3000,40000,13000"
1105ttg (MlTextGroup
1106uid 364,0
1107ps "CenterOffsetStrategy"
1108stg "VerticalLayoutStrategy"
1109textVec [
1110*27 (Text
1111uid 365,0
1112va (VaSet
1113font "Arial,8,1"
1114)
1115xt "123200,56000,130900,57000"
1116st "FACT_FAD_TB_lib"
1117blo "123200,56800"
1118tm "BdLibraryNameMgr"
1119)
1120*28 (Text
1121uid 366,0
1122va (VaSet
1123font "Arial,8,1"
1124)
1125xt "123200,57000,130800,58000"
1126st "max6662_emulator"
1127blo "123200,57800"
1128tm "CptNameMgr"
1129)
1130*29 (Text
1131uid 367,0
1132va (VaSet
1133font "Arial,8,1"
1134)
1135xt "123200,58000,131000,59000"
1136st "I_mainTB_max6662"
1137blo "123200,58800"
1138tm "InstanceNameMgr"
1139)
1140]
1141)
1142ga (GenericAssociation
1143uid 368,0
1144ps "EdgeToEdgeStrategy"
1145matrix (Matrix
1146uid 369,0
1147text (MLText
1148uid 370,0
1149va (VaSet
1150font "Courier New,8,0"
1151)
1152xt "123000,45200,143000,46000"
1153st "DRS_TEMPERATURE = 51 ( integer ) "
1154)
1155header ""
1156)
1157elements [
1158(GiElement
1159name "DRS_TEMPERATURE"
1160type "integer"
1161value "51"
1162)
1163]
1164)
1165viewicon (ZoomableIcon
1166uid 371,0
1167sl 0
1168va (VaSet
1169vasetType 1
1170fg "49152,49152,49152"
1171)
1172xt "123250,54250,124750,55750"
1173iconName "VhdlFileViewIcon.png"
1174iconMaskName "VhdlFileViewIcon.msk"
1175ftype 10
1176)
1177ordering 1
1178viewiconposition 0
1179portVis (PortSigDisplay
1180sIVOD 1
1181)
1182archFileType "UNKNOWN"
1183)
1184*30 (Net
1185uid 372,0
1186decl (Decl
1187n "sensor_cs"
1188t "std_logic_vector"
1189b "(3 DOWNTO 0)"
1190o 6
1191suid 6,0
1192)
1193declText (MLText
1194uid 373,0
1195va (VaSet
1196font "Courier New,8,0"
1197)
1198xt "-90000,59000,-58500,59800"
1199st "SIGNAL sensor_cs : std_logic_vector(3 DOWNTO 0)
1200"
1201)
1202)
1203*31 (Net
1204uid 378,0
1205decl (Decl
1206n "sclk"
1207t "std_logic"
1208o 7
1209suid 7,0
1210)
1211declText (MLText
1212uid 379,0
1213va (VaSet
1214font "Courier New,8,0"
1215)
1216xt "-90000,58200,-68000,59000"
1217st "SIGNAL sclk : std_logic
1218"
1219)
1220)
1221*32 (Net
1222uid 384,0
1223decl (Decl
1224n "sio"
1225t "std_logic"
1226preAdd 0
1227posAdd 0
1228o 8
1229suid 8,0
1230)
1231declText (MLText
1232uid 385,0
1233va (VaSet
1234font "Courier New,8,0"
1235)
1236xt "-90000,59800,-68000,60600"
1237st "SIGNAL sio : std_logic
1238"
1239)
1240)
1241*33 (SaComponent
1242uid 414,0
1243optionalChildren [
1244*34 (CptPort
1245uid 410,0
1246ps "OnEdgeStrategy"
1247shape (Triangle
1248uid 411,0
1249ro 90
1250va (VaSet
1251vasetType 1
1252fg "0,65535,0"
1253)
1254xt "58000,31625,58750,32375"
1255)
1256tg (CPTG
1257uid 412,0
1258ps "CptPortTextPlaceStrategy"
1259stg "RightVerticalLayoutStrategy"
1260f (Text
1261uid 413,0
1262va (VaSet
1263)
1264xt "54200,31500,57000,32500"
1265st "trigger"
1266ju 2
1267blo "57000,32300"
1268)
1269)
1270thePort (LogicalPort
1271m 1
1272decl (Decl
1273n "trigger"
1274t "std_logic"
1275preAdd 0
1276posAdd 0
1277o 1
1278suid 1,0
1279)
1280)
1281)
1282]
1283shape (Rectangle
1284uid 415,0
1285va (VaSet
1286vasetType 1
1287fg "0,49152,49152"
1288lineColor "0,0,50000"
1289lineWidth 2
1290)
1291xt "50000,30000,58000,36000"
1292)
1293oxt "19000,4000,29000,14000"
1294ttg (MlTextGroup
1295uid 416,0
1296ps "CenterOffsetStrategy"
1297stg "VerticalLayoutStrategy"
1298textVec [
1299*35 (Text
1300uid 417,0
1301va (VaSet
1302font "Arial,8,1"
1303)
1304xt "50200,36000,57900,37000"
1305st "FACT_FAD_TB_lib"
1306blo "50200,36800"
1307tm "BdLibraryNameMgr"
1308)
1309*36 (Text
1310uid 418,0
1311va (VaSet
1312font "Arial,8,1"
1313)
1314xt "50200,37000,57500,38000"
1315st "trigger_generator"
1316blo "50200,37800"
1317tm "CptNameMgr"
1318)
1319*37 (Text
1320uid 419,0
1321va (VaSet
1322font "Arial,8,1"
1323)
1324xt "50200,38000,57400,39000"
1325st "I_mainTB_trigger"
1326blo "50200,38800"
1327tm "InstanceNameMgr"
1328)
1329]
1330)
1331ga (GenericAssociation
1332uid 420,0
1333ps "EdgeToEdgeStrategy"
1334matrix (Matrix
1335uid 421,0
1336text (MLText
1337uid 422,0
1338va (VaSet
1339font "Courier New,8,0"
1340)
1341xt "50000,28400,68500,30000"
1342st "TRIGGER_RATE = 1 ms ( time )
1343PULSE_WIDTH = 20 ns ( time ) "
1344)
1345header ""
1346)
1347elements [
1348(GiElement
1349name "TRIGGER_RATE"
1350type "time"
1351value "1 ms"
1352)
1353(GiElement
1354name "PULSE_WIDTH"
1355type "time"
1356value "20 ns"
1357)
1358]
1359)
1360viewicon (ZoomableIcon
1361uid 423,0
1362sl 0
1363va (VaSet
1364vasetType 1
1365fg "49152,49152,49152"
1366)
1367xt "50250,34250,51750,35750"
1368iconName "VhdlFileViewIcon.png"
1369iconMaskName "VhdlFileViewIcon.msk"
1370ftype 10
1371)
1372ordering 1
1373viewiconposition 0
1374portVis (PortSigDisplay
1375sIVOD 1
1376)
1377archFileType "UNKNOWN"
1378)
1379*38 (Net
1380uid 424,0
1381decl (Decl
1382n "trigger"
1383t "std_logic"
1384preAdd 0
1385posAdd 0
1386o 9
1387suid 9,0
1388)
1389declText (MLText
1390uid 425,0
1391va (VaSet
1392font "Courier New,8,0"
1393)
1394xt "-90000,61400,-68000,62200"
1395st "SIGNAL trigger : std_logic
1396"
1397)
1398)
1399*39 (HdlText
1400uid 430,0
1401optionalChildren [
1402*40 (EmbeddedText
1403uid 436,0
1404commentText (CommentText
1405uid 437,0
1406ps "CenterOffsetStrategy"
1407shape (Rectangle
1408uid 438,0
1409va (VaSet
1410vasetType 1
1411fg "65535,65535,65535"
1412lineColor "0,0,32768"
1413lineWidth 2
1414)
1415xt "50000,45000,60000,49000"
1416)
1417oxt "0,0,18000,5000"
1418text (MLText
1419uid 439,0
1420va (VaSet
1421)
1422xt "50200,45200,60200,48200"
1423st "
1424-- eb_ID 1: hard-wired IDs
1425board_id <= \"0101\";
1426crate_id <= \"01\";
1427
1428"
1429tm "HdlTextMgr"
1430wrapOption 3
1431visibleHeight 4000
1432visibleWidth 10000
1433)
1434)
1435)
1436]
1437shape (Rectangle
1438uid 431,0
1439va (VaSet
1440vasetType 1
1441fg "65535,65535,37120"
1442lineColor "0,0,32768"
1443lineWidth 2
1444)
1445xt "50000,40000,58000,45000"
1446)
1447oxt "0,0,8000,10000"
1448ttg (MlTextGroup
1449uid 432,0
1450ps "CenterOffsetStrategy"
1451stg "VerticalLayoutStrategy"
1452textVec [
1453*41 (Text
1454uid 433,0
1455va (VaSet
1456font "Arial,8,1"
1457)
1458xt "51150,41000,57350,42000"
1459st "eb_mainTB_ID"
1460blo "51150,41800"
1461tm "HdlTextNameMgr"
1462)
1463*42 (Text
1464uid 434,0
1465va (VaSet
1466font "Arial,8,1"
1467)
1468xt "51150,42000,51950,43000"
1469st "1"
1470blo "51150,42800"
1471tm "HdlTextNumberMgr"
1472)
1473]
1474)
1475viewicon (ZoomableIcon
1476uid 435,0
1477sl 0
1478va (VaSet
1479vasetType 1
1480fg "49152,49152,49152"
1481)
1482xt "50250,43250,51750,44750"
1483iconName "TextFile.png"
1484iconMaskName "TextFile.msk"
1485ftype 21
1486)
1487viewiconposition 0
1488)
1489*43 (Net
1490uid 440,0
1491decl (Decl
1492n "board_id"
1493t "std_logic_vector"
1494b "(3 downto 0)"
1495preAdd 0
1496posAdd 0
1497o 10
1498suid 10,0
1499)
1500declText (MLText
1501uid 441,0
1502va (VaSet
1503font "Courier New,8,0"
1504)
1505xt "-90000,45400,-58500,46200"
1506st "SIGNAL board_id : std_logic_vector(3 downto 0)
1507"
1508)
1509)
1510*44 (Net
1511uid 448,0
1512decl (Decl
1513n "crate_id"
1514t "std_logic_vector"
1515b "(1 downto 0)"
1516o 11
1517suid 11,0
1518)
1519declText (MLText
1520uid 449,0
1521va (VaSet
1522font "Courier New,8,0"
1523)
1524xt "-90000,47800,-58500,48600"
1525st "SIGNAL crate_id : std_logic_vector(1 downto 0)
1526"
1527)
1528)
1529*45 (SaComponent
1530uid 508,0
1531optionalChildren [
1532*46 (CptPort
1533uid 489,0
1534ps "OnEdgeStrategy"
1535shape (Triangle
1536uid 490,0
1537ro 90
1538va (VaSet
1539vasetType 1
1540fg "0,65535,0"
1541)
1542xt "29250,52625,30000,53375"
1543)
1544tg (CPTG
1545uid 491,0
1546ps "CptPortTextPlaceStrategy"
1547stg "VerticalLayoutStrategy"
1548f (Text
1549uid 492,0
1550va (VaSet
1551)
1552xt "31000,52500,32300,53500"
1553st "clk"
1554blo "31000,53300"
1555)
1556)
1557thePort (LogicalPort
1558decl (Decl
1559n "clk"
1560t "STD_LOGIC"
1561preAdd 0
1562posAdd 0
1563o 1
1564suid 1,0
1565)
1566)
1567)
1568*47 (CptPort
1569uid 493,0
1570ps "OnEdgeStrategy"
1571shape (Triangle
1572uid 494,0
1573ro 90
1574va (VaSet
1575vasetType 1
1576fg "0,65535,0"
1577)
1578xt "40000,54625,40750,55375"
1579)
1580tg (CPTG
1581uid 495,0
1582ps "CptPortTextPlaceStrategy"
1583stg "RightVerticalLayoutStrategy"
1584f (Text
1585uid 496,0
1586va (VaSet
1587)
1588xt "34200,54500,39000,55500"
1589st "data : (11:0)"
1590ju 2
1591blo "39000,55300"
1592)
1593)
1594thePort (LogicalPort
1595m 1
1596decl (Decl
1597n "data"
1598t "STD_LOGIC_VECTOR"
1599b "(11 DOWNTO 0)"
1600preAdd 0
1601posAdd 0
1602o 2
1603suid 2,0
1604)
1605)
1606)
1607*48 (CptPort
1608uid 497,0
1609ps "OnEdgeStrategy"
1610shape (Triangle
1611uid 498,0
1612ro 90
1613va (VaSet
1614vasetType 1
1615fg "0,65535,0"
1616)
1617xt "40000,52625,40750,53375"
1618)
1619tg (CPTG
1620uid 499,0
1621ps "CptPortTextPlaceStrategy"
1622stg "RightVerticalLayoutStrategy"
1623f (Text
1624uid 500,0
1625va (VaSet
1626)
1627xt "37700,52500,39000,53500"
1628st "otr"
1629ju 2
1630blo "39000,53300"
1631)
1632)
1633thePort (LogicalPort
1634m 1
1635decl (Decl
1636n "otr"
1637t "STD_LOGIC"
1638preAdd 0
1639posAdd 0
1640o 3
1641suid 3,0
1642)
1643)
1644)
1645*49 (CptPort
1646uid 501,0
1647ps "OnEdgeStrategy"
1648shape (Triangle
1649uid 502,0
1650ro 270
1651va (VaSet
1652vasetType 1
1653fg "0,65535,0"
1654)
1655xt "40000,53625,40750,54375"
1656)
1657tg (CPTG
1658uid 503,0
1659ps "CptPortTextPlaceStrategy"
1660stg "RightVerticalLayoutStrategy"
1661f (Text
1662uid 504,0
1663va (VaSet
1664)
1665xt "37400,53500,39000,54500"
1666st "oeb"
1667ju 2
1668blo "39000,54300"
1669)
1670)
1671thePort (LogicalPort
1672decl (Decl
1673n "oeb"
1674t "STD_LOGIC"
1675preAdd 0
1676posAdd 0
1677o 4
1678suid 4,0
1679)
1680)
1681)
1682]
1683shape (Rectangle
1684uid 509,0
1685va (VaSet
1686vasetType 1
1687fg "0,49152,49152"
1688lineColor "0,0,50000"
1689lineWidth 2
1690)
1691xt "30000,51000,40000,58000"
1692)
1693oxt "29000,7000,39000,17000"
1694ttg (MlTextGroup
1695uid 510,0
1696ps "CenterOffsetStrategy"
1697stg "VerticalLayoutStrategy"
1698textVec [
1699*50 (Text
1700uid 511,0
1701va (VaSet
1702font "Arial,8,1"
1703)
1704xt "30200,58000,37900,59000"
1705st "FACT_FAD_TB_lib"
1706blo "30200,58800"
1707tm "BdLibraryNameMgr"
1708)
1709*51 (Text
1710uid 512,0
1711va (VaSet
1712font "Arial,8,1"
1713)
1714xt "30200,59000,36000,60000"
1715st "adc_emulator"
1716blo "30200,59800"
1717tm "CptNameMgr"
1718)
1719*52 (Text
1720uid 513,0
1721va (VaSet
1722font "Arial,8,1"
1723)
1724xt "30200,60000,36200,61000"
1725st "I_mainTB_adc"
1726blo "30200,60800"
1727tm "InstanceNameMgr"
1728)
1729]
1730)
1731ga (GenericAssociation
1732uid 514,0
1733ps "EdgeToEdgeStrategy"
1734matrix (Matrix
1735uid 515,0
1736text (MLText
1737uid 516,0
1738va (VaSet
1739font "Courier New,8,0"
1740)
1741xt "30000,50200,65500,51000"
1742st "INPUT_FILE = \"../memory_files/analog_input_ch0.txt\" ( string ) "
1743)
1744header ""
1745)
1746elements [
1747(GiElement
1748name "INPUT_FILE"
1749type "string"
1750value "\"../memory_files/analog_input_ch0.txt\""
1751)
1752]
1753)
1754viewicon (ZoomableIcon
1755uid 517,0
1756sl 0
1757va (VaSet
1758vasetType 1
1759fg "49152,49152,49152"
1760)
1761xt "30250,56250,31750,57750"
1762iconName "VhdlFileViewIcon.png"
1763iconMaskName "VhdlFileViewIcon.msk"
1764ftype 10
1765)
1766ordering 1
1767viewiconposition 0
1768portVis (PortSigDisplay
1769sIVOD 1
1770)
1771archFileType "UNKNOWN"
1772)
1773*53 (HdlText
1774uid 518,0
1775optionalChildren [
1776*54 (EmbeddedText
1777uid 524,0
1778commentText (CommentText
1779uid 525,0
1780ps "CenterOffsetStrategy"
1781shape (Rectangle
1782uid 526,0
1783va (VaSet
1784vasetType 1
1785fg "65535,65535,65535"
1786lineColor "0,0,32768"
1787lineWidth 2
1788)
1789xt "50000,57000,62000,67000"
1790)
1791oxt "0,0,18000,5000"
1792text (MLText
1793uid 527,0
1794va (VaSet
1795)
1796xt "50200,57200,62100,66200"
1797st "
1798-- eb_adc 2: ADC routing
1799adc_data_array(0) <= adc_data;
1800adc_data_array(1) <= adc_data;
1801adc_data_array(2) <= adc_data;
1802adc_data_array(3) <= adc_data;
1803adc_otr_array(0) <= adc_otr;
1804adc_otr_array(1) <= adc_otr;
1805adc_otr_array(2) <= adc_otr;
1806adc_otr_array(3) <= adc_otr;
1807
1808"
1809tm "HdlTextMgr"
1810wrapOption 3
1811visibleHeight 10000
1812visibleWidth 12000
1813)
1814)
1815)
1816]
1817shape (Rectangle
1818uid 519,0
1819va (VaSet
1820vasetType 1
1821fg "65535,65535,37120"
1822lineColor "0,0,32768"
1823lineWidth 2
1824)
1825xt "50000,51000,58000,57000"
1826)
1827oxt "0,0,8000,10000"
1828ttg (MlTextGroup
1829uid 520,0
1830ps "CenterOffsetStrategy"
1831stg "VerticalLayoutStrategy"
1832textVec [
1833*55 (Text
1834uid 521,0
1835va (VaSet
1836font "Arial,8,1"
1837)
1838xt "51150,52000,57850,53000"
1839st "eb_mainTB_adc"
1840blo "51150,52800"
1841tm "HdlTextNameMgr"
1842)
1843*56 (Text
1844uid 522,0
1845va (VaSet
1846font "Arial,8,1"
1847)
1848xt "51150,53000,51950,54000"
1849st "2"
1850blo "51150,53800"
1851tm "HdlTextNumberMgr"
1852)
1853]
1854)
1855viewicon (ZoomableIcon
1856uid 523,0
1857sl 0
1858va (VaSet
1859vasetType 1
1860fg "49152,49152,49152"
1861)
1862xt "50250,55250,51750,56750"
1863iconName "TextFile.png"
1864iconMaskName "TextFile.msk"
1865ftype 21
1866)
1867viewiconposition 0
1868)
1869*57 (Net
1870uid 528,0
1871decl (Decl
1872n "adc_otr_array"
1873t "std_logic_vector"
1874b "(3 DOWNTO 0)"
1875o 12
1876suid 12,0
1877)
1878declText (MLText
1879uid 529,0
1880va (VaSet
1881font "Courier New,8,0"
1882)
1883xt "-90000,42200,-58500,43000"
1884st "SIGNAL adc_otr_array : std_logic_vector(3 DOWNTO 0)
1885"
1886)
1887)
1888*58 (Net
1889uid 536,0
1890decl (Decl
1891n "adc_data_array"
1892t "adc_data_array_type"
1893o 13
1894suid 13,0
1895)
1896declText (MLText
1897uid 537,0
1898va (VaSet
1899font "Courier New,8,0"
1900)
1901xt "-90000,39800,-63000,40600"
1902st "SIGNAL adc_data_array : adc_data_array_type
1903"
1904)
1905)
1906*59 (Net
1907uid 544,0
1908decl (Decl
1909n "adc_oeb"
1910t "std_logic"
1911preAdd 0
1912posAdd 0
1913o 14
1914suid 14,0
1915)
1916declText (MLText
1917uid 545,0
1918va (VaSet
1919font "Courier New,8,0"
1920)
1921xt "-90000,40600,-68000,41400"
1922st "SIGNAL adc_oeb : std_logic
1923"
1924)
1925)
1926*60 (Net
1927uid 560,0
1928decl (Decl
1929n "adc_otr"
1930t "STD_LOGIC"
1931preAdd 0
1932posAdd 0
1933o 16
1934suid 16,0
1935)
1936declText (MLText
1937uid 561,0
1938va (VaSet
1939font "Courier New,8,0"
1940)
1941xt "-90000,41400,-68000,42200"
1942st "SIGNAL adc_otr : STD_LOGIC
1943"
1944)
1945)
1946*61 (Net
1947uid 568,0
1948decl (Decl
1949n "adc_data"
1950t "std_logic_vector"
1951b "(11 DOWNTO 0)"
1952preAdd 0
1953posAdd 0
1954o 17
1955suid 17,0
1956)
1957declText (MLText
1958uid 569,0
1959va (VaSet
1960font "Courier New,8,0"
1961)
1962xt "-90000,39000,-58000,39800"
1963st "SIGNAL adc_data : std_logic_vector(11 DOWNTO 0)
1964"
1965)
1966)
1967*62 (Net
1968uid 767,0
1969decl (Decl
1970n "wiz_reset"
1971t "std_logic"
1972o 21
1973suid 23,0
1974i "'1'"
1975)
1976declText (MLText
1977uid 768,0
1978va (VaSet
1979font "Courier New,8,0"
1980)
1981xt "-90000,67800,-55000,68600"
1982st "SIGNAL wiz_reset : std_logic := '1'
1983"
1984)
1985)
1986*63 (Net
1987uid 775,0
1988decl (Decl
1989n "led"
1990t "std_logic_vector"
1991b "(7 DOWNTO 0)"
1992posAdd 0
1993o 22
1994suid 24,0
1995i "(OTHERS => '0')"
1996)
1997declText (MLText
1998uid 776,0
1999va (VaSet
2000font "Courier New,8,0"
2001)
2002xt "-90000,54200,-49000,55000"
2003st "SIGNAL led : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0')
2004"
2005)
2006)
2007*64 (Net
2008uid 783,0
2009decl (Decl
2010n "wiz_cs"
2011t "std_logic"
2012o 23
2013suid 25,0
2014i "'1'"
2015)
2016declText (MLText
2017uid 784,0
2018va (VaSet
2019font "Courier New,8,0"
2020)
2021xt "-90000,64600,-55000,65400"
2022st "SIGNAL wiz_cs : std_logic := '1'
2023"
2024)
2025)
2026*65 (Net
2027uid 791,0
2028decl (Decl
2029n "wiz_int"
2030t "std_logic"
2031o 24
2032suid 26,0
2033)
2034declText (MLText
2035uid 792,0
2036va (VaSet
2037font "Courier New,8,0"
2038)
2039xt "-90000,66200,-68000,67000"
2040st "SIGNAL wiz_int : std_logic
2041"
2042)
2043)
2044*66 (Net
2045uid 799,0
2046decl (Decl
2047n "dac_cs"
2048t "std_logic"
2049o 25
2050suid 27,0
2051)
2052declText (MLText
2053uid 800,0
2054va (VaSet
2055font "Courier New,8,0"
2056)
2057xt "-90000,48600,-68000,49400"
2058st "SIGNAL dac_cs : std_logic
2059"
2060)
2061)
2062*67 (Net
2063uid 807,0
2064decl (Decl
2065n "mosi"
2066t "std_logic"
2067o 26
2068suid 28,0
2069i "'0'"
2070)
2071declText (MLText
2072uid 808,0
2073va (VaSet
2074font "Courier New,8,0"
2075)
2076xt "-90000,55800,-55000,56600"
2077st "SIGNAL mosi : std_logic := '0'
2078"
2079)
2080)
2081*68 (Net
2082uid 815,0
2083decl (Decl
2084n "denable"
2085t "std_logic"
2086eolc "-- default domino wave off"
2087posAdd 0
2088o 27
2089suid 29,0
2090i "'0'"
2091)
2092declText (MLText
2093uid 816,0
2094va (VaSet
2095font "Courier New,8,0"
2096)
2097xt "-90000,51000,-41500,51800"
2098st "SIGNAL denable : std_logic := '0' -- default domino wave off
2099"
2100)
2101)
2102*69 (Net
2103uid 823,0
2104decl (Decl
2105n "CLK_25_PS"
2106t "std_logic"
2107o 28
2108suid 30,0
2109)
2110declText (MLText
2111uid 824,0
2112va (VaSet
2113font "Courier New,8,0"
2114)
2115xt "-90000,25400,-68000,26200"
2116st "SIGNAL CLK_25_PS : std_logic
2117"
2118)
2119)
2120*70 (Net
2121uid 831,0
2122decl (Decl
2123n "CLK_50"
2124t "std_logic"
2125o 29
2126suid 31,0
2127)
2128declText (MLText
2129uid 832,0
2130va (VaSet
2131font "Courier New,8,0"
2132)
2133xt "-90000,26200,-68000,27000"
2134st "SIGNAL CLK_50 : std_logic
2135"
2136)
2137)
2138*71 (Net
2139uid 839,0
2140decl (Decl
2141n "drs_channel_id"
2142t "std_logic_vector"
2143b "(3 downto 0)"
2144o 30
2145suid 32,0
2146i "(others => '0')"
2147)
2148declText (MLText
2149uid 840,0
2150va (VaSet
2151font "Courier New,8,0"
2152)
2153xt "-90000,51800,-49000,52600"
2154st "SIGNAL drs_channel_id : std_logic_vector(3 downto 0) := (others => '0')
2155"
2156)
2157)
2158*72 (Net
2159uid 847,0
2160decl (Decl
2161n "drs_dwrite"
2162t "std_logic"
2163o 31
2164suid 33,0
2165i "'1'"
2166)
2167declText (MLText
2168uid 848,0
2169va (VaSet
2170font "Courier New,8,0"
2171)
2172xt "-90000,52600,-55000,53400"
2173st "SIGNAL drs_dwrite : std_logic := '1'
2174"
2175)
2176)
2177*73 (Net
2178uid 855,0
2179decl (Decl
2180n "RSRLOAD"
2181t "std_logic"
2182o 32
2183suid 34,0
2184i "'0'"
2185)
2186declText (MLText
2187uid 856,0
2188va (VaSet
2189font "Courier New,8,0"
2190)
2191xt "-90000,33400,-55000,34200"
2192st "SIGNAL RSRLOAD : std_logic := '0'
2193"
2194)
2195)
2196*74 (Net
2197uid 863,0
2198decl (Decl
2199n "SRCLK"
2200t "std_logic"
2201o 33
2202suid 35,0
2203i "'0'"
2204)
2205declText (MLText
2206uid 864,0
2207va (VaSet
2208font "Courier New,8,0"
2209)
2210xt "-90000,34200,-55000,35000"
2211st "SIGNAL SRCLK : std_logic := '0'
2212"
2213)
2214)
2215*75 (Net
2216uid 871,0
2217decl (Decl
2218n "SROUT_in_0"
2219t "std_logic"
2220o 30
2221suid 36,0
2222)
2223declText (MLText
2224uid 872,0
2225va (VaSet
2226font "Courier New,8,0"
2227)
2228xt "-90000,35800,-68000,36600"
2229st "SIGNAL SROUT_in_0 : std_logic
2230"
2231)
2232)
2233*76 (Net
2234uid 879,0
2235decl (Decl
2236n "SROUT_in_1"
2237t "std_logic"
2238o 31
2239suid 37,0
2240)
2241declText (MLText
2242uid 880,0
2243va (VaSet
2244font "Courier New,8,0"
2245)
2246xt "-90000,36600,-68000,37400"
2247st "SIGNAL SROUT_in_1 : std_logic
2248"
2249)
2250)
2251*77 (Net
2252uid 887,0
2253decl (Decl
2254n "SROUT_in_2"
2255t "std_logic"
2256o 32
2257suid 38,0
2258)
2259declText (MLText
2260uid 888,0
2261va (VaSet
2262font "Courier New,8,0"
2263)
2264xt "-90000,37400,-68000,38200"
2265st "SIGNAL SROUT_in_2 : std_logic
2266"
2267)
2268)
2269*78 (Net
2270uid 895,0
2271decl (Decl
2272n "SROUT_in_3"
2273t "std_logic"
2274o 33
2275suid 39,0
2276)
2277declText (MLText
2278uid 896,0
2279va (VaSet
2280font "Courier New,8,0"
2281)
2282xt "-90000,38200,-68000,39000"
2283st "SIGNAL SROUT_in_3 : std_logic
2284"
2285)
2286)
2287*79 (Net
2288uid 1435,0
2289decl (Decl
2290n "SRIN_out"
2291t "std_logic"
2292o 34
2293suid 40,0
2294i "'0'"
2295)
2296declText (MLText
2297uid 1436,0
2298va (VaSet
2299font "Courier New,8,0"
2300)
2301xt "-90000,35000,-55000,35800"
2302st "SIGNAL SRIN_out : std_logic := '0'
2303"
2304)
2305)
2306*80 (Net
2307uid 1443,0
2308decl (Decl
2309n "amber"
2310t "std_logic"
2311o 35
2312suid 41,0
2313)
2314declText (MLText
2315uid 1444,0
2316va (VaSet
2317font "Courier New,8,0"
2318)
2319xt "-90000,44600,-68000,45400"
2320st "SIGNAL amber : std_logic
2321"
2322)
2323)
2324*81 (Net
2325uid 1451,0
2326decl (Decl
2327n "red"
2328t "std_logic"
2329o 36
2330suid 42,0
2331)
2332declText (MLText
2333uid 1452,0
2334va (VaSet
2335font "Courier New,8,0"
2336)
2337xt "-90000,57400,-68000,58200"
2338st "SIGNAL red : std_logic
2339"
2340)
2341)
2342*82 (Net
2343uid 1459,0
2344decl (Decl
2345n "green"
2346t "std_logic"
2347o 37
2348suid 43,0
2349)
2350declText (MLText
2351uid 1460,0
2352va (VaSet
2353font "Courier New,8,0"
2354)
2355xt "-90000,53400,-68000,54200"
2356st "SIGNAL green : std_logic
2357"
2358)
2359)
2360*83 (Net
2361uid 1467,0
2362decl (Decl
2363n "counter_result"
2364t "std_logic_vector"
2365b "(11 DOWNTO 0)"
2366o 38
2367suid 44,0
2368)
2369declText (MLText
2370uid 1468,0
2371va (VaSet
2372font "Courier New,8,0"
2373)
2374xt "-90000,47000,-58000,47800"
2375st "SIGNAL counter_result : std_logic_vector(11 DOWNTO 0)
2376"
2377)
2378)
2379*84 (Net
2380uid 1475,0
2381decl (Decl
2382n "alarm_refclk_too_low"
2383t "std_logic"
2384posAdd 0
2385o 39
2386suid 45,0
2387)
2388declText (MLText
2389uid 1476,0
2390va (VaSet
2391font "Courier New,8,0"
2392)
2393xt "-90000,43800,-68000,44600"
2394st "SIGNAL alarm_refclk_too_low : std_logic
2395"
2396)
2397)
2398*85 (Net
2399uid 1483,0
2400decl (Decl
2401n "alarm_refclk_too_high"
2402t "std_logic"
2403o 40
2404suid 46,0
2405)
2406declText (MLText
2407uid 1484,0
2408va (VaSet
2409font "Courier New,8,0"
2410)
2411xt "-90000,43000,-68000,43800"
2412st "SIGNAL alarm_refclk_too_high : std_logic
2413"
2414)
2415)
2416*86 (HdlText
2417uid 1491,0
2418optionalChildren [
2419*87 (EmbeddedText
2420uid 1497,0
2421commentText (CommentText
2422uid 1498,0
2423ps "CenterOffsetStrategy"
2424shape (Rectangle
2425uid 1499,0
2426va (VaSet
2427vasetType 1
2428fg "65535,65535,65535"
2429lineColor "0,0,32768"
2430lineWidth 2
2431)
2432xt "27000,72000,41000,77000"
2433)
2434oxt "0,0,18000,5000"
2435text (MLText
2436uid 1500,0
2437va (VaSet
2438)
2439xt "27200,72200,39400,77200"
2440st "
2441
2442D_T_in(1 downto 0) <= \"00\";
2443plllock_in(3 downto 0) <= \"1111\";
2444SROUT_in_0 <= '1';
2445SROUT_in_1 <= '0';
2446SROUT_in_2 <= '1';
2447SROUT_in_3 <= '0';
2448
2449"
2450tm "HdlTextMgr"
2451wrapOption 3
2452visibleHeight 5000
2453visibleWidth 14000
2454)
2455)
2456)
2457]
2458shape (Rectangle
2459uid 1492,0
2460va (VaSet
2461vasetType 1
2462fg "65535,65535,37120"
2463lineColor "0,0,32768"
2464lineWidth 2
2465)
2466xt "27000,69000,35000,72000"
2467)
2468oxt "0,0,8000,10000"
2469ttg (MlTextGroup
2470uid 1493,0
2471ps "CenterOffsetStrategy"
2472stg "VerticalLayoutStrategy"
2473textVec [
2474*88 (Text
2475uid 1494,0
2476va (VaSet
2477font "Arial,8,1"
2478)
2479xt "28150,69000,35250,70000"
2480st "eb_mainTB_adc1"
2481blo "28150,69800"
2482tm "HdlTextNameMgr"
2483)
2484*89 (Text
2485uid 1495,0
2486va (VaSet
2487font "Arial,8,1"
2488)
2489xt "28150,70000,28950,71000"
2490st "3"
2491blo "28150,70800"
2492tm "HdlTextNumberMgr"
2493)
2494]
2495)
2496viewicon (ZoomableIcon
2497uid 1496,0
2498sl 0
2499va (VaSet
2500vasetType 1
2501fg "49152,49152,49152"
2502)
2503xt "27250,70250,28750,71750"
2504iconName "TextFile.png"
2505iconMaskName "TextFile.msk"
2506ftype 21
2507)
2508viewiconposition 0
2509)
2510*90 (Net
2511uid 1501,0
2512decl (Decl
2513n "D_T_in"
2514t "std_logic_vector"
2515b "(1 DOWNTO 0)"
2516o 41
2517suid 47,0
2518)
2519declText (MLText
2520uid 1502,0
2521va (VaSet
2522font "Courier New,8,0"
2523)
2524xt "-90000,28600,-58500,29400"
2525st "SIGNAL D_T_in : std_logic_vector(1 DOWNTO 0)
2526"
2527)
2528)
2529*91 (SaComponent
2530uid 1509,0
2531optionalChildren [
2532*92 (CptPort
2533uid 1519,0
2534ps "OnEdgeStrategy"
2535shape (Triangle
2536uid 1520,0
2537ro 90
2538va (VaSet
2539vasetType 1
2540fg "0,65535,0"
2541)
2542xt "66000,78625,66750,79375"
2543)
2544tg (CPTG
2545uid 1521,0
2546ps "CptPortTextPlaceStrategy"
2547stg "RightVerticalLayoutStrategy"
2548f (Text
2549uid 1522,0
2550va (VaSet
2551)
2552xt "63700,78500,65000,79500"
2553st "clk"
2554ju 2
2555blo "65000,79300"
2556)
2557)
2558thePort (LogicalPort
2559m 1
2560decl (Decl
2561n "clk"
2562t "STD_LOGIC"
2563o 1
2564i "'0'"
2565)
2566)
2567)
2568*93 (CptPort
2569uid 1523,0
2570ps "OnEdgeStrategy"
2571shape (Triangle
2572uid 1524,0
2573ro 90
2574va (VaSet
2575vasetType 1
2576fg "0,65535,0"
2577)
2578xt "66000,79625,66750,80375"
2579)
2580tg (CPTG
2581uid 1525,0
2582ps "CptPortTextPlaceStrategy"
2583stg "RightVerticalLayoutStrategy"
2584f (Text
2585uid 1526,0
2586va (VaSet
2587)
2588xt "63700,79500,65000,80500"
2589st "rst"
2590ju 2
2591blo "65000,80300"
2592)
2593)
2594thePort (LogicalPort
2595m 1
2596decl (Decl
2597n "rst"
2598t "STD_LOGIC"
2599o 2
2600i "'0'"
2601)
2602)
2603)
2604]
2605shape (Rectangle
2606uid 1510,0
2607va (VaSet
2608vasetType 1
2609fg "0,49152,49152"
2610lineColor "0,0,50000"
2611lineWidth 2
2612)
2613xt "55000,77000,66000,82000"
2614)
2615oxt "0,0,8000,10000"
2616ttg (MlTextGroup
2617uid 1511,0
2618ps "CenterOffsetStrategy"
2619stg "VerticalLayoutStrategy"
2620textVec [
2621*94 (Text
2622uid 1512,0
2623va (VaSet
2624font "Arial,8,1"
2625)
2626xt "56150,78000,63850,79000"
2627st "FACT_FAD_TB_lib"
2628blo "56150,78800"
2629tm "BdLibraryNameMgr"
2630)
2631*95 (Text
2632uid 1513,0
2633va (VaSet
2634font "Arial,8,1"
2635)
2636xt "56150,79000,62850,80000"
2637st "clock_generator"
2638blo "56150,79800"
2639tm "CptNameMgr"
2640)
2641*96 (Text
2642uid 1514,0
2643va (VaSet
2644font "Arial,8,1"
2645)
2646xt "56150,80000,63150,81000"
2647st "I_mainTB_clock1"
2648blo "56150,80800"
2649tm "InstanceNameMgr"
2650)
2651]
2652)
2653ga (GenericAssociation
2654uid 1515,0
2655ps "EdgeToEdgeStrategy"
2656matrix (Matrix
2657uid 1516,0
2658text (MLText
2659uid 1517,0
2660va (VaSet
2661font "Courier New,8,0"
2662)
2663xt "55000,82400,73000,84000"
2664st "clock_period = 1 us ( time )
2665reset_time = 1 us ( time ) "
2666)
2667header ""
2668)
2669elements [
2670(GiElement
2671name "clock_period"
2672type "time"
2673value "1 us"
2674)
2675(GiElement
2676name "reset_time"
2677type "time"
2678value "1 us"
2679)
2680]
2681)
2682viewicon (ZoomableIcon
2683uid 1518,0
2684sl 0
2685va (VaSet
2686vasetType 1
2687fg "49152,49152,49152"
2688)
2689xt "55250,80250,56750,81750"
2690iconName "VhdlFileViewIcon.png"
2691iconMaskName "VhdlFileViewIcon.msk"
2692ftype 10
2693)
2694ordering 1
2695viewiconposition 0
2696portVis (PortSigDisplay
2697)
2698archFileType "UNKNOWN"
2699)
2700*97 (Net
2701uid 1559,0
2702decl (Decl
2703n "plllock_in"
2704t "std_logic_vector"
2705b "(3 DOWNTO 0)"
2706eolc "-- high level, if dominowave is running and DRS PLL locked"
2707o 43
2708suid 49,0
2709)
2710declText (MLText
2711uid 1560,0
2712va (VaSet
2713font "Courier New,8,0"
2714)
2715xt "-90000,56600,-29000,57400"
2716st "SIGNAL plllock_in : std_logic_vector(3 DOWNTO 0) -- high level, if dominowave is running and DRS PLL locked
2717"
2718)
2719)
2720*98 (Net
2721uid 1682,0
2722lang 2
2723decl (Decl
2724n "ADC_CLK"
2725t "std_logic"
2726o 44
2727suid 50,0
2728)
2729declText (MLText
2730uid 1683,0
2731va (VaSet
2732font "Courier New,8,0"
2733)
2734xt "-90000,24600,-68000,25400"
2735st "SIGNAL ADC_CLK : std_logic
2736"
2737)
2738)
2739*99 (Net
2740uid 2001,0
2741decl (Decl
2742n "REF_CLK"
2743t "STD_LOGIC"
2744o 42
2745suid 51,0
2746i "'0'"
2747)
2748declText (MLText
2749uid 2002,0
2750va (VaSet
2751font "Courier New,8,0"
2752)
2753xt "-90000,32600,-55000,33400"
2754st "SIGNAL REF_CLK : STD_LOGIC := '0'
2755"
2756)
2757)
2758*100 (SaComponent
2759uid 2336,0
2760optionalChildren [
2761*101 (CptPort
2762uid 2315,0
2763ps "OnEdgeStrategy"
2764shape (Triangle
2765uid 2316,0
2766ro 90
2767va (VaSet
2768vasetType 1
2769fg "0,65535,0"
2770)
2771xt "122250,20625,123000,21375"
2772)
2773tg (CPTG
2774uid 2317,0
2775ps "CptPortTextPlaceStrategy"
2776stg "VerticalLayoutStrategy"
2777f (Text
2778uid 2318,0
2779va (VaSet
2780)
2781xt "124000,20500,129100,21500"
2782st "addr : (9:0)"
2783blo "124000,21300"
2784)
2785)
2786thePort (LogicalPort
2787decl (Decl
2788n "addr"
2789t "std_logic_vector"
2790b "(9 DOWNTO 0)"
2791preAdd 0
2792posAdd 0
2793o 2
2794suid 1,0
2795)
2796)
2797)
2798*102 (CptPort
2799uid 2319,0
2800ps "OnEdgeStrategy"
2801shape (Diamond
2802uid 2320,0
2803ro 270
2804va (VaSet
2805vasetType 1
2806fg "0,65535,0"
2807)
2808xt "122250,21625,123000,22375"
2809)
2810tg (CPTG
2811uid 2321,0
2812ps "CptPortTextPlaceStrategy"
2813stg "VerticalLayoutStrategy"
2814f (Text
2815uid 2322,0
2816va (VaSet
2817)
2818xt "124000,21500,129400,22500"
2819st "data : (15:0)"
2820blo "124000,22300"
2821)
2822)
2823thePort (LogicalPort
2824m 2
2825decl (Decl
2826n "data"
2827t "std_logic_vector"
2828b "(15 DOWNTO 0)"
2829preAdd 0
2830posAdd 0
2831o 3
2832suid 2,0
2833)
2834)
2835)
2836*103 (CptPort
2837uid 2323,0
2838ps "OnEdgeStrategy"
2839shape (Triangle
2840uid 2324,0
2841ro 90
2842va (VaSet
2843vasetType 1
2844fg "0,65535,0"
2845)
2846xt "122250,24625,123000,25375"
2847)
2848tg (CPTG
2849uid 2325,0
2850ps "CptPortTextPlaceStrategy"
2851stg "VerticalLayoutStrategy"
2852f (Text
2853uid 2326,0
2854va (VaSet
2855)
2856xt "124000,24500,125300,25500"
2857st "rd"
2858blo "124000,25300"
2859)
2860)
2861thePort (LogicalPort
2862decl (Decl
2863n "rd"
2864t "std_logic"
2865preAdd 0
2866posAdd 0
2867o 4
2868suid 3,0
2869)
2870)
2871)
2872*104 (CptPort
2873uid 2327,0
2874ps "OnEdgeStrategy"
2875shape (Triangle
2876uid 2328,0
2877ro 90
2878va (VaSet
2879vasetType 1
2880fg "0,65535,0"
2881)
2882xt "122250,25625,123000,26375"
2883)
2884tg (CPTG
2885uid 2329,0
2886ps "CptPortTextPlaceStrategy"
2887stg "VerticalLayoutStrategy"
2888f (Text
2889uid 2330,0
2890va (VaSet
2891)
2892xt "124000,25500,125400,26500"
2893st "wr"
2894blo "124000,26300"
2895)
2896)
2897thePort (LogicalPort
2898decl (Decl
2899n "wr"
2900t "std_logic"
2901preAdd 0
2902posAdd 0
2903o 6
2904suid 4,0
2905)
2906)
2907)
2908*105 (CptPort
2909uid 2331,0
2910ps "OnEdgeStrategy"
2911shape (Triangle
2912uid 2332,0
2913ro 270
2914va (VaSet
2915vasetType 1
2916fg "0,65535,0"
2917)
2918xt "122250,26625,123000,27375"
2919)
2920tg (CPTG
2921uid 2333,0
2922ps "CptPortTextPlaceStrategy"
2923stg "VerticalLayoutStrategy"
2924f (Text
2925uid 2334,0
2926va (VaSet
2927)
2928xt "124000,26500,125400,27500"
2929st "int"
2930blo "124000,27300"
2931)
2932)
2933thePort (LogicalPort
2934m 1
2935decl (Decl
2936n "int"
2937t "std_logic"
2938o 1
2939suid 5,0
2940i "'1'"
2941)
2942)
2943)
2944*106 (CptPort
2945uid 2548,0
2946ps "OnEdgeStrategy"
2947shape (Triangle
2948uid 2549,0
2949ro 90
2950va (VaSet
2951vasetType 1
2952fg "0,65535,0"
2953)
2954xt "122250,27625,123000,28375"
2955)
2956tg (CPTG
2957uid 2550,0
2958ps "CptPortTextPlaceStrategy"
2959stg "VerticalLayoutStrategy"
2960f (Text
2961uid 2551,0
2962va (VaSet
2963)
2964xt "124000,27500,125200,28500"
2965st "cs"
2966blo "124000,28300"
2967)
2968)
2969thePort (LogicalPort
2970decl (Decl
2971n "cs"
2972t "std_logic"
2973o 5
2974suid 6,0
2975)
2976)
2977)
2978]
2979shape (Rectangle
2980uid 2337,0
2981va (VaSet
2982vasetType 1
2983fg "0,49152,49152"
2984lineColor "0,0,50000"
2985lineWidth 2
2986)
2987xt "123000,19000,133000,31000"
2988)
2989oxt "29000,0,39000,12000"
2990ttg (MlTextGroup
2991uid 2338,0
2992ps "CenterOffsetStrategy"
2993stg "VerticalLayoutStrategy"
2994textVec [
2995*107 (Text
2996uid 2339,0
2997va (VaSet
2998font "Arial,8,1"
2999)
3000xt "123200,31000,130900,32000"
3001st "FACT_FAD_TB_lib"
3002blo "123200,31800"
3003tm "BdLibraryNameMgr"
3004)
3005*108 (Text
3006uid 2340,0
3007va (VaSet
3008font "Arial,8,1"
3009)
3010xt "123200,32000,129800,33000"
3011st "w5300_emulator"
3012blo "123200,32800"
3013tm "CptNameMgr"
3014)
3015*109 (Text
3016uid 2341,0
3017va (VaSet
3018font "Arial,8,1"
3019)
3020xt "123200,33000,130000,34000"
3021st "I_mainTB_w5300"
3022blo "123200,33800"
3023tm "InstanceNameMgr"
3024)
3025]
3026)
3027ga (GenericAssociation
3028uid 2342,0
3029ps "EdgeToEdgeStrategy"
3030matrix (Matrix
3031uid 2343,0
3032text (MLText
3033uid 2344,0
3034va (VaSet
3035font "Courier New,8,0"
3036)
3037xt "123000,18000,123000,18000"
3038)
3039header ""
3040)
3041elements [
3042]
3043)
3044viewicon (ZoomableIcon
3045uid 2345,0
3046sl 0
3047va (VaSet
3048vasetType 1
3049fg "49152,49152,49152"
3050)
3051xt "123250,29250,124750,30750"
3052iconName "VhdlFileViewIcon.png"
3053iconMaskName "VhdlFileViewIcon.msk"
3054ftype 10
3055)
3056ordering 1
3057viewiconposition 0
3058portVis (PortSigDisplay
3059)
3060archFileType "UNKNOWN"
3061)
3062*110 (Net
3063uid 2705,0
3064decl (Decl
3065n "debug_data_ram_empty"
3066t "std_logic"
3067o 45
3068suid 53,0
3069)
3070declText (MLText
3071uid 2706,0
3072va (VaSet
3073font "Courier New,8,0"
3074)
3075xt "-90000,49400,-68000,50200"
3076st "SIGNAL debug_data_ram_empty : std_logic
3077"
3078)
3079)
3080*111 (Net
3081uid 2713,0
3082decl (Decl
3083n "debug_data_valid"
3084t "std_logic"
3085o 46
3086suid 54,0
3087)
3088declText (MLText
3089uid 2714,0
3090va (VaSet
3091font "Courier New,8,0"
3092)
3093xt "-90000,50200,-68000,51000"
3094st "SIGNAL debug_data_valid : std_logic
3095"
3096)
3097)
3098*112 (Net
3099uid 2721,0
3100decl (Decl
3101n "DG_state"
3102t "std_logic_vector"
3103b "(7 downto 0)"
3104prec "-- for debugging"
3105preAdd 0
3106o 47
3107suid 55,0
3108)
3109declText (MLText
3110uid 2722,0
3111va (VaSet
3112font "Courier New,8,0"
3113)
3114xt "-90000,27000,-58500,28600"
3115st "-- for debugging
3116SIGNAL DG_state : std_logic_vector(7 downto 0)
3117"
3118)
3119)
3120*113 (Net
3121uid 2729,0
3122decl (Decl
3123n "FTM_RS485_rx_en"
3124t "std_logic"
3125o 48
3126suid 56,0
3127)
3128declText (MLText
3129uid 2730,0
3130va (VaSet
3131font "Courier New,8,0"
3132)
3133xt "-90000,30200,-68000,31000"
3134st "SIGNAL FTM_RS485_rx_en : std_logic
3135"
3136)
3137)
3138*114 (Net
3139uid 2737,0
3140decl (Decl
3141n "FTM_RS485_tx_d"
3142t "std_logic"
3143o 49
3144suid 57,0
3145)
3146declText (MLText
3147uid 2738,0
3148va (VaSet
3149font "Courier New,8,0"
3150)
3151xt "-90000,31000,-68000,31800"
3152st "SIGNAL FTM_RS485_tx_d : std_logic
3153"
3154)
3155)
3156*115 (Net
3157uid 2745,0
3158decl (Decl
3159n "FTM_RS485_tx_en"
3160t "std_logic"
3161o 50
3162suid 58,0
3163)
3164declText (MLText
3165uid 2746,0
3166va (VaSet
3167font "Courier New,8,0"
3168)
3169xt "-90000,31800,-68000,32600"
3170st "SIGNAL FTM_RS485_tx_en : std_logic
3171"
3172)
3173)
3174*116 (Net
3175uid 2753,0
3176lang 2
3177decl (Decl
3178n "mem_manager_state"
3179t "std_logic_vector"
3180b "(3 DOWNTO 0)"
3181eolc "-- state is encoded here ... useful for debugging."
3182posAdd 0
3183o 51
3184suid 59,0
3185)
3186declText (MLText
3187uid 2754,0
3188va (VaSet
3189font "Courier New,8,0"
3190)
3191xt "-90000,55000,-33000,55800"
3192st "SIGNAL mem_manager_state : std_logic_vector(3 DOWNTO 0) -- state is encoded here ... useful for debugging.
3193"
3194)
3195)
3196*117 (Net
3197uid 2761,0
3198decl (Decl
3199n "trigger_veto"
3200t "std_logic"
3201o 52
3202suid 60,0
3203i "'1'"
3204)
3205declText (MLText
3206uid 2762,0
3207va (VaSet
3208font "Courier New,8,0"
3209)
3210xt "-90000,62200,-55000,63000"
3211st "SIGNAL trigger_veto : std_logic := '1'
3212"
3213)
3214)
3215*118 (Net
3216uid 2769,0
3217decl (Decl
3218n "w5300_state"
3219t "std_logic_vector"
3220b "(7 DOWNTO 0)"
3221eolc "-- state is encoded here ... useful for debugging."
3222posAdd 0
3223o 53
3224suid 61,0
3225)
3226declText (MLText
3227uid 2770,0
3228va (VaSet
3229font "Courier New,8,0"
3230)
3231xt "-90000,63000,-33000,63800"
3232st "SIGNAL w5300_state : std_logic_vector(7 DOWNTO 0) -- state is encoded here ... useful for debugging.
3233"
3234)
3235)
3236*119 (Net
3237uid 2777,0
3238decl (Decl
3239n "FTM_RS485_rx_d"
3240t "std_logic"
3241o 54
3242suid 62,0
3243)
3244declText (MLText
3245uid 2778,0
3246va (VaSet
3247font "Courier New,8,0"
3248)
3249xt "-90000,29400,-68000,30200"
3250st "SIGNAL FTM_RS485_rx_d : std_logic
3251"
3252)
3253)
3254*120 (Net
3255uid 2942,0
3256decl (Decl
3257n "socket_tx_free_out"
3258t "std_logic_vector"
3259b "(16 DOWNTO 0)"
3260eolc "-- 17bit value .. that's true"
3261posAdd 0
3262o 55
3263suid 64,0
3264)
3265declText (MLText
3266uid 2943,0
3267va (VaSet
3268font "Courier New,8,0"
3269)
3270xt "-90000,60600,-43000,61400"
3271st "SIGNAL socket_tx_free_out : std_logic_vector(16 DOWNTO 0) -- 17bit value .. that's true
3272"
3273)
3274)
3275*121 (SaComponent
3276uid 3285,0
3277optionalChildren [
3278*122 (CptPort
3279uid 3073,0
3280ps "OnEdgeStrategy"
3281shape (Triangle
3282uid 3074,0
3283ro 90
3284va (VaSet
3285vasetType 1
3286fg "0,65535,0"
3287)
3288xt "109000,23625,109750,24375"
3289)
3290tg (CPTG
3291uid 3075,0
3292ps "CptPortTextPlaceStrategy"
3293stg "RightVerticalLayoutStrategy"
3294f (Text
3295uid 3076,0
3296va (VaSet
3297)
3298xt "104400,23500,108000,24500"
3299st "wiz_reset"
3300ju 2
3301blo "108000,24300"
3302)
3303)
3304thePort (LogicalPort
3305m 1
3306decl (Decl
3307n "wiz_reset"
3308t "std_logic"
3309o 50
3310suid 2,0
3311i "'1'"
3312)
3313)
3314)
3315*123 (CptPort
3316uid 3077,0
3317ps "OnEdgeStrategy"
3318shape (Triangle
3319uid 3078,0
3320ro 90
3321va (VaSet
3322vasetType 1
3323fg "0,65535,0"
3324)
3325xt "109000,69625,109750,70375"
3326)
3327tg (CPTG
3328uid 3079,0
3329ps "CptPortTextPlaceStrategy"
3330stg "RightVerticalLayoutStrategy"
3331f (Text
3332uid 3080,0
3333va (VaSet
3334)
3335xt "104000,69500,108000,70500"
3336st "led : (7:0)"
3337ju 2
3338blo "108000,70300"
3339)
3340)
3341thePort (LogicalPort
3342m 1
3343decl (Decl
3344n "led"
3345t "std_logic_vector"
3346b "(7 DOWNTO 0)"
3347posAdd 0
3348o 38
3349suid 7,0
3350i "(OTHERS => '0')"
3351)
3352)
3353)
3354*124 (CptPort
3355uid 3081,0
3356ps "OnEdgeStrategy"
3357shape (Triangle
3358uid 3082,0
3359ro 90
3360va (VaSet
3361vasetType 1
3362fg "0,65535,0"
3363)
3364xt "80250,31625,81000,32375"
3365)
3366tg (CPTG
3367uid 3083,0
3368ps "CptPortTextPlaceStrategy"
3369stg "VerticalLayoutStrategy"
3370f (Text
3371uid 3084,0
3372va (VaSet
3373)
3374xt "82000,31500,84800,32500"
3375st "trigger"
3376blo "82000,32300"
3377)
3378)
3379thePort (LogicalPort
3380decl (Decl
3381n "trigger"
3382t "std_logic"
3383preAdd 0
3384posAdd 0
3385o 14
3386suid 18,0
3387)
3388)
3389)
3390*125 (CptPort
3391uid 3085,0
3392ps "OnEdgeStrategy"
3393shape (Triangle
3394uid 3086,0
3395ro 270
3396va (VaSet
3397vasetType 1
3398fg "0,65535,0"
3399)
3400xt "80250,42625,81000,43375"
3401)
3402tg (CPTG
3403uid 3087,0
3404ps "CptPortTextPlaceStrategy"
3405stg "VerticalLayoutStrategy"
3406f (Text
3407uid 3088,0
3408va (VaSet
3409)
3410xt "82000,42500,85200,43500"
3411st "adc_oeb"
3412blo "82000,43300"
3413)
3414)
3415thePort (LogicalPort
3416m 1
3417decl (Decl
3418n "adc_oeb"
3419t "std_logic"
3420o 26
3421suid 21,0
3422i "'1'"
3423)
3424)
3425)
3426*126 (CptPort
3427uid 3089,0
3428ps "OnEdgeStrategy"
3429shape (Triangle
3430uid 3090,0
3431ro 90
3432va (VaSet
3433vasetType 1
3434fg "0,65535,0"
3435)
3436xt "80250,33625,81000,34375"
3437)
3438tg (CPTG
3439uid 3091,0
3440ps "CptPortTextPlaceStrategy"
3441stg "VerticalLayoutStrategy"
3442f (Text
3443uid 3092,0
3444va (VaSet
3445)
3446xt "82000,33500,87900,34500"
3447st "board_id : (3:0)"
3448blo "82000,34300"
3449)
3450)
3451thePort (LogicalPort
3452decl (Decl
3453n "board_id"
3454t "std_logic_vector"
3455b "(3 DOWNTO 0)"
3456o 10
3457suid 24,0
3458)
3459)
3460)
3461*127 (CptPort
3462uid 3093,0
3463ps "OnEdgeStrategy"
3464shape (Triangle
3465uid 3094,0
3466ro 90
3467va (VaSet
3468vasetType 1
3469fg "0,65535,0"
3470)
3471xt "80250,34625,81000,35375"
3472)
3473tg (CPTG
3474uid 3095,0
3475ps "CptPortTextPlaceStrategy"
3476stg "VerticalLayoutStrategy"
3477f (Text
3478uid 3096,0
3479va (VaSet
3480)
3481xt "82000,34500,87700,35500"
3482st "crate_id : (1:0)"
3483blo "82000,35300"
3484)
3485)
3486thePort (LogicalPort
3487decl (Decl
3488n "crate_id"
3489t "std_logic_vector"
3490b "(1 DOWNTO 0)"
3491o 11
3492suid 25,0
3493)
3494)
3495)
3496*128 (CptPort
3497uid 3097,0
3498ps "OnEdgeStrategy"
3499shape (Triangle
3500uid 3098,0
3501ro 90
3502va (VaSet
3503vasetType 1
3504fg "0,65535,0"
3505)
3506xt "109000,20625,109750,21375"
3507)
3508tg (CPTG
3509uid 3099,0
3510ps "CptPortTextPlaceStrategy"
3511stg "RightVerticalLayoutStrategy"
3512f (Text
3513uid 3100,0
3514va (VaSet
3515)
3516xt "102000,20500,108000,21500"
3517st "wiz_addr : (9:0)"
3518ju 2
3519blo "108000,21300"
3520)
3521)
3522thePort (LogicalPort
3523m 1
3524decl (Decl
3525n "wiz_addr"
3526t "std_logic_vector"
3527b "(9 DOWNTO 0)"
3528o 47
3529suid 26,0
3530)
3531)
3532)
3533*129 (CptPort
3534uid 3101,0
3535ps "OnEdgeStrategy"
3536shape (Diamond
3537uid 3102,0
3538ro 90
3539va (VaSet
3540vasetType 1
3541fg "0,65535,0"
3542)
3543xt "109000,21625,109750,22375"
3544)
3545tg (CPTG
3546uid 3103,0
3547ps "CptPortTextPlaceStrategy"
3548stg "RightVerticalLayoutStrategy"
3549f (Text
3550uid 3104,0
3551va (VaSet
3552)
3553xt "101700,21500,108000,22500"
3554st "wiz_data : (15:0)"
3555ju 2
3556blo "108000,22300"
3557)
3558)
3559thePort (LogicalPort
3560m 2
3561decl (Decl
3562n "wiz_data"
3563t "std_logic_vector"
3564b "(15 DOWNTO 0)"
3565o 53
3566suid 27,0
3567)
3568)
3569)
3570*130 (CptPort
3571uid 3105,0
3572ps "OnEdgeStrategy"
3573shape (Triangle
3574uid 3106,0
3575ro 90
3576va (VaSet
3577vasetType 1
3578fg "0,65535,0"
3579)
3580xt "109000,27625,109750,28375"
3581)
3582tg (CPTG
3583uid 3107,0
3584ps "CptPortTextPlaceStrategy"
3585stg "RightVerticalLayoutStrategy"
3586f (Text
3587uid 3108,0
3588va (VaSet
3589)
3590xt "105300,27500,108000,28500"
3591st "wiz_cs"
3592ju 2
3593blo "108000,28300"
3594)
3595)
3596thePort (LogicalPort
3597m 1
3598decl (Decl
3599n "wiz_cs"
3600t "std_logic"
3601o 48
3602suid 28,0
3603i "'1'"
3604)
3605)
3606)
3607*131 (CptPort
3608uid 3109,0
3609ps "OnEdgeStrategy"
3610shape (Triangle
3611uid 3110,0
3612ro 90
3613va (VaSet
3614vasetType 1
3615fg "0,65535,0"
3616)
3617xt "109000,25625,109750,26375"
3618)
3619tg (CPTG
3620uid 3111,0
3621ps "CptPortTextPlaceStrategy"
3622stg "RightVerticalLayoutStrategy"
3623f (Text
3624uid 3112,0
3625va (VaSet
3626)
3627xt "105300,25500,108000,26500"
3628st "wiz_wr"
3629ju 2
3630blo "108000,26300"
3631)
3632)
3633thePort (LogicalPort
3634m 1
3635decl (Decl
3636n "wiz_wr"
3637t "std_logic"
3638o 51
3639suid 29,0
3640i "'1'"
3641)
3642)
3643)
3644*132 (CptPort
3645uid 3113,0
3646ps "OnEdgeStrategy"
3647shape (Triangle
3648uid 3114,0
3649ro 90
3650va (VaSet
3651vasetType 1
3652fg "0,65535,0"
3653)
3654xt "109000,24625,109750,25375"
3655)
3656tg (CPTG
3657uid 3115,0
3658ps "CptPortTextPlaceStrategy"
3659stg "RightVerticalLayoutStrategy"
3660f (Text
3661uid 3116,0
3662va (VaSet
3663)
3664xt "105400,24500,108000,25500"
3665st "wiz_rd"
3666ju 2
3667blo "108000,25300"
3668)
3669)
3670thePort (LogicalPort
3671m 1
3672decl (Decl
3673n "wiz_rd"
3674t "std_logic"
3675o 49
3676suid 30,0
3677i "'1'"
3678)
3679)
3680)
3681*133 (CptPort
3682uid 3117,0
3683ps "OnEdgeStrategy"
3684shape (Triangle
3685uid 3118,0
3686ro 270
3687va (VaSet
3688vasetType 1
3689fg "0,65535,0"
3690)
3691xt "109000,26625,109750,27375"
3692)
3693tg (CPTG
3694uid 3119,0
3695ps "CptPortTextPlaceStrategy"
3696stg "RightVerticalLayoutStrategy"
3697f (Text
3698uid 3120,0
3699va (VaSet
3700)
3701xt "105300,26500,108000,27500"
3702st "wiz_int"
3703ju 2
3704blo "108000,27300"
3705)
3706)
3707thePort (LogicalPort
3708decl (Decl
3709n "wiz_int"
3710t "std_logic"
3711o 15
3712suid 31,0
3713)
3714)
3715)
3716*134 (CptPort
3717uid 3121,0
3718ps "OnEdgeStrategy"
3719shape (Triangle
3720uid 3122,0
3721ro 270
3722va (VaSet
3723vasetType 1
3724fg "0,65535,0"
3725)
3726xt "80250,22625,81000,23375"
3727)
3728tg (CPTG
3729uid 3123,0
3730ps "CptPortTextPlaceStrategy"
3731stg "VerticalLayoutStrategy"
3732f (Text
3733uid 3124,0
3734va (VaSet
3735)
3736xt "82000,22500,86500,23500"
3737st "CLK_25_PS"
3738blo "82000,23300"
3739)
3740)
3741thePort (LogicalPort
3742m 1
3743decl (Decl
3744n "CLK_25_PS"
3745t "std_logic"
3746o 17
3747suid 35,0
3748)
3749)
3750)
3751*135 (CptPort
3752uid 3125,0
3753ps "OnEdgeStrategy"
3754shape (Triangle
3755uid 3126,0
3756ro 270
3757va (VaSet
3758vasetType 1
3759fg "0,65535,0"
3760)
3761xt "80250,21625,81000,22375"
3762)
3763tg (CPTG
3764uid 3127,0
3765ps "CptPortTextPlaceStrategy"
3766stg "VerticalLayoutStrategy"
3767f (Text
3768uid 3128,0
3769va (VaSet
3770)
3771xt "82000,21500,85100,22500"
3772st "CLK_50"
3773blo "82000,22300"
3774)
3775)
3776thePort (LogicalPort
3777m 1
3778decl (Decl
3779n "CLK_50"
3780t "std_logic"
3781preAdd 0
3782posAdd 0
3783o 18
3784suid 37,0
3785)
3786)
3787)
3788*136 (CptPort
3789uid 3129,0
3790ps "OnEdgeStrategy"
3791shape (Triangle
3792uid 3130,0
3793ro 90
3794va (VaSet
3795vasetType 1
3796fg "0,65535,0"
3797)
3798xt "80250,20625,81000,21375"
3799)
3800tg (CPTG
3801uid 3131,0
3802ps "CptPortTextPlaceStrategy"
3803stg "VerticalLayoutStrategy"
3804f (Text
3805uid 3132,0
3806va (VaSet
3807)
3808xt "82000,20500,83900,21500"
3809st "CLK"
3810blo "82000,21300"
3811)
3812)
3813thePort (LogicalPort
3814decl (Decl
3815n "CLK"
3816t "std_logic"
3817o 1
3818suid 38,0
3819)
3820)
3821)
3822*137 (CptPort
3823uid 3133,0
3824ps "OnEdgeStrategy"
3825shape (Triangle
3826uid 3134,0
3827ro 90
3828va (VaSet
3829vasetType 1
3830fg "0,65535,0"
3831)
3832xt "80250,41625,81000,42375"
3833)
3834tg (CPTG
3835uid 3135,0
3836ps "CptPortTextPlaceStrategy"
3837stg "VerticalLayoutStrategy"
3838f (Text
3839uid 3136,0
3840va (VaSet
3841)
3842xt "82000,41500,90000,42500"
3843st "adc_otr_array : (3:0)"
3844blo "82000,42300"
3845)
3846)
3847thePort (LogicalPort
3848decl (Decl
3849n "adc_otr_array"
3850t "std_logic_vector"
3851b "(3 DOWNTO 0)"
3852o 9
3853suid 40,0
3854)
3855)
3856)
3857*138 (CptPort
3858uid 3137,0
3859ps "OnEdgeStrategy"
3860shape (Triangle
3861uid 3138,0
3862ro 90
3863va (VaSet
3864vasetType 1
3865fg "0,65535,0"
3866)
3867xt "80250,47625,81000,48375"
3868)
3869tg (CPTG
3870uid 3139,0
3871ps "CptPortTextPlaceStrategy"
3872stg "VerticalLayoutStrategy"
3873f (Text
3874uid 3140,0
3875va (VaSet
3876)
3877xt "82000,47500,87900,48500"
3878st "adc_data_array"
3879blo "82000,48300"
3880)
3881)
3882thePort (LogicalPort
3883decl (Decl
3884n "adc_data_array"
3885t "adc_data_array_type"
3886o 8
3887suid 41,0
3888)
3889)
3890)
3891*139 (CptPort
3892uid 3141,0
3893ps "OnEdgeStrategy"
3894shape (Triangle
3895uid 3142,0
3896ro 270
3897va (VaSet
3898vasetType 1
3899fg "0,65535,0"
3900)
3901xt "80250,61625,81000,62375"
3902)
3903tg (CPTG
3904uid 3143,0
3905ps "CptPortTextPlaceStrategy"
3906stg "VerticalLayoutStrategy"
3907f (Text
3908uid 3144,0
3909va (VaSet
3910)
3911xt "82000,61500,90500,62500"
3912st "drs_channel_id : (3:0)"
3913blo "82000,62300"
3914)
3915)
3916thePort (LogicalPort
3917m 1
3918decl (Decl
3919n "drs_channel_id"
3920t "std_logic_vector"
3921b "(3 downto 0)"
3922o 35
3923suid 48,0
3924i "(others => '0')"
3925)
3926)
3927)
3928*140 (CptPort
3929uid 3145,0
3930ps "OnEdgeStrategy"
3931shape (Triangle
3932uid 3146,0
3933ro 270
3934va (VaSet
3935vasetType 1
3936fg "0,65535,0"
3937)
3938xt "80250,66625,81000,67375"
3939)
3940tg (CPTG
3941uid 3147,0
3942ps "CptPortTextPlaceStrategy"
3943stg "VerticalLayoutStrategy"
3944f (Text
3945uid 3148,0
3946va (VaSet
3947)
3948xt "82000,66500,86300,67500"
3949st "drs_dwrite"
3950blo "82000,67300"
3951)
3952)
3953thePort (LogicalPort
3954m 1
3955decl (Decl
3956n "drs_dwrite"
3957t "std_logic"
3958o 36
3959suid 49,0
3960i "'1'"
3961)
3962)
3963)
3964*141 (CptPort
3965uid 3149,0
3966ps "OnEdgeStrategy"
3967shape (Triangle
3968uid 3150,0
3969ro 90
3970va (VaSet
3971vasetType 1
3972fg "0,65535,0"
3973)
3974xt "80250,57625,81000,58375"
3975)
3976tg (CPTG
3977uid 3151,0
3978ps "CptPortTextPlaceStrategy"
3979stg "VerticalLayoutStrategy"
3980f (Text
3981uid 3152,0
3982va (VaSet
3983)
3984xt "82000,57500,87400,58500"
3985st "SROUT_in_0"
3986blo "82000,58300"
3987)
3988)
3989thePort (LogicalPort
3990decl (Decl
3991n "SROUT_in_0"
3992t "std_logic"
3993o 4
3994suid 52,0
3995)
3996)
3997)
3998*142 (CptPort
3999uid 3153,0
4000ps "OnEdgeStrategy"
4001shape (Triangle
4002uid 3154,0
4003ro 90
4004va (VaSet
4005vasetType 1
4006fg "0,65535,0"
4007)
4008xt "80250,58625,81000,59375"
4009)
4010tg (CPTG
4011uid 3155,0
4012ps "CptPortTextPlaceStrategy"
4013stg "VerticalLayoutStrategy"
4014f (Text
4015uid 3156,0
4016va (VaSet
4017)
4018xt "82000,58500,87400,59500"
4019st "SROUT_in_1"
4020blo "82000,59300"
4021)
4022)
4023thePort (LogicalPort
4024decl (Decl
4025n "SROUT_in_1"
4026t "std_logic"
4027o 5
4028suid 53,0
4029)
4030)
4031)
4032*143 (CptPort
4033uid 3157,0
4034ps "OnEdgeStrategy"
4035shape (Triangle
4036uid 3158,0
4037ro 90
4038va (VaSet
4039vasetType 1
4040fg "0,65535,0"
4041)
4042xt "80250,59625,81000,60375"
4043)
4044tg (CPTG
4045uid 3159,0
4046ps "CptPortTextPlaceStrategy"
4047stg "VerticalLayoutStrategy"
4048f (Text
4049uid 3160,0
4050va (VaSet
4051)
4052xt "82000,59500,87400,60500"
4053st "SROUT_in_2"
4054blo "82000,60300"
4055)
4056)
4057thePort (LogicalPort
4058decl (Decl
4059n "SROUT_in_2"
4060t "std_logic"
4061o 6
4062suid 54,0
4063)
4064)
4065)
4066*144 (CptPort
4067uid 3161,0
4068ps "OnEdgeStrategy"
4069shape (Triangle
4070uid 3162,0
4071ro 90
4072va (VaSet
4073vasetType 1
4074fg "0,65535,0"
4075)
4076xt "80250,60625,81000,61375"
4077)
4078tg (CPTG
4079uid 3163,0
4080ps "CptPortTextPlaceStrategy"
4081stg "VerticalLayoutStrategy"
4082f (Text
4083uid 3164,0
4084va (VaSet
4085)
4086xt "82000,60500,87400,61500"
4087st "SROUT_in_3"
4088blo "82000,61300"
4089)
4090)
4091thePort (LogicalPort
4092decl (Decl
4093n "SROUT_in_3"
4094t "std_logic"
4095o 7
4096suid 55,0
4097)
4098)
4099)
4100*145 (CptPort
4101uid 3165,0
4102ps "OnEdgeStrategy"
4103shape (Triangle
4104uid 3166,0
4105ro 270
4106va (VaSet
4107vasetType 1
4108fg "0,65535,0"
4109)
4110xt "80250,63625,81000,64375"
4111)
4112tg (CPTG
4113uid 3167,0
4114ps "CptPortTextPlaceStrategy"
4115stg "VerticalLayoutStrategy"
4116f (Text
4117uid 3168,0
4118va (VaSet
4119)
4120xt "82000,63500,86200,64500"
4121st "RSRLOAD"
4122blo "82000,64300"
4123)
4124)
4125thePort (LogicalPort
4126m 1
4127decl (Decl
4128n "RSRLOAD"
4129t "std_logic"
4130o 23
4131suid 56,0
4132i "'0'"
4133)
4134)
4135)
4136*146 (CptPort
4137uid 3169,0
4138ps "OnEdgeStrategy"
4139shape (Triangle
4140uid 3170,0
4141ro 270
4142va (VaSet
4143vasetType 1
4144fg "0,65535,0"
4145)
4146xt "80250,64625,81000,65375"
4147)
4148tg (CPTG
4149uid 3171,0
4150ps "CptPortTextPlaceStrategy"
4151stg "VerticalLayoutStrategy"
4152f (Text
4153uid 3172,0
4154va (VaSet
4155)
4156xt "82000,64500,85000,65500"
4157st "SRCLK"
4158blo "82000,65300"
4159)
4160)
4161thePort (LogicalPort
4162m 1
4163decl (Decl
4164n "SRCLK"
4165t "std_logic"
4166o 24
4167suid 57,0
4168i "'0'"
4169)
4170)
4171)
4172*147 (CptPort
4173uid 3173,0
4174ps "OnEdgeStrategy"
4175shape (Triangle
4176uid 3174,0
4177ro 90
4178va (VaSet
4179vasetType 1
4180fg "0,65535,0"
4181)
4182xt "109000,50625,109750,51375"
4183)
4184tg (CPTG
4185uid 3175,0
4186ps "CptPortTextPlaceStrategy"
4187stg "RightVerticalLayoutStrategy"
4188f (Text
4189uid 3176,0
4190va (VaSet
4191)
4192xt "106300,50500,108000,51500"
4193st "sclk"
4194ju 2
4195blo "108000,51300"
4196)
4197)
4198thePort (LogicalPort
4199m 1
4200decl (Decl
4201n "sclk"
4202t "std_logic"
4203o 42
4204suid 62,0
4205)
4206)
4207)
4208*148 (CptPort
4209uid 3177,0
4210ps "OnEdgeStrategy"
4211shape (Diamond
4212uid 3178,0
4213ro 90
4214va (VaSet
4215vasetType 1
4216fg "0,65535,0"
4217)
4218xt "109000,51625,109750,52375"
4219)
4220tg (CPTG
4221uid 3179,0
4222ps "CptPortTextPlaceStrategy"
4223stg "RightVerticalLayoutStrategy"
4224f (Text
4225uid 3180,0
4226va (VaSet
4227)
4228xt "106600,51500,108000,52500"
4229st "sio"
4230ju 2
4231blo "108000,52300"
4232)
4233)
4234thePort (LogicalPort
4235m 2
4236decl (Decl
4237n "sio"
4238t "std_logic"
4239preAdd 0
4240posAdd 0
4241o 52
4242suid 63,0
4243)
4244)
4245)
4246*149 (CptPort
4247uid 3181,0
4248ps "OnEdgeStrategy"
4249shape (Triangle
4250uid 3182,0
4251ro 90
4252va (VaSet
4253vasetType 1
4254fg "0,65535,0"
4255)
4256xt "109000,39625,109750,40375"
4257)
4258tg (CPTG
4259uid 3183,0
4260ps "CptPortTextPlaceStrategy"
4261stg "RightVerticalLayoutStrategy"
4262f (Text
4263uid 3184,0
4264va (VaSet
4265)
4266xt "105200,39500,108000,40500"
4267st "dac_cs"
4268ju 2
4269blo "108000,40300"
4270)
4271)
4272thePort (LogicalPort
4273m 1
4274decl (Decl
4275n "dac_cs"
4276t "std_logic"
4277o 31
4278suid 64,0
4279)
4280)
4281)
4282*150 (CptPort
4283uid 3185,0
4284ps "OnEdgeStrategy"
4285shape (Triangle
4286uid 3186,0
4287ro 90
4288va (VaSet
4289vasetType 1
4290fg "0,65535,0"
4291)
4292xt "109000,41625,109750,42375"
4293)
4294tg (CPTG
4295uid 3187,0
4296ps "CptPortTextPlaceStrategy"
4297stg "RightVerticalLayoutStrategy"
4298f (Text
4299uid 3188,0
4300va (VaSet
4301)
4302xt "101500,41500,108000,42500"
4303st "sensor_cs : (3:0)"
4304ju 2
4305blo "108000,42300"
4306)
4307)
4308thePort (LogicalPort
4309m 1
4310decl (Decl
4311n "sensor_cs"
4312t "std_logic_vector"
4313b "(3 DOWNTO 0)"
4314o 43
4315suid 65,0
4316)
4317)
4318)
4319*151 (CptPort
4320uid 3189,0
4321ps "OnEdgeStrategy"
4322shape (Triangle
4323uid 3190,0
4324ro 90
4325va (VaSet
4326vasetType 1
4327fg "0,65535,0"
4328)
4329xt "109000,52625,109750,53375"
4330)
4331tg (CPTG
4332uid 3191,0
4333ps "CptPortTextPlaceStrategy"
4334stg "RightVerticalLayoutStrategy"
4335f (Text
4336uid 3192,0
4337va (VaSet
4338)
4339xt "106000,52500,108000,53500"
4340st "mosi"
4341ju 2
4342blo "108000,53300"
4343)
4344)
4345thePort (LogicalPort
4346m 1
4347decl (Decl
4348n "mosi"
4349t "std_logic"
4350o 40
4351suid 66,0
4352i "'0'"
4353)
4354)
4355)
4356*152 (CptPort
4357uid 3193,0
4358ps "OnEdgeStrategy"
4359shape (Triangle
4360uid 3194,0
4361ro 270
4362va (VaSet
4363vasetType 1
4364fg "0,65535,0"
4365)
4366xt "80250,65625,81000,66375"
4367)
4368tg (CPTG
4369uid 3195,0
4370ps "CptPortTextPlaceStrategy"
4371stg "VerticalLayoutStrategy"
4372f (Text
4373uid 3196,0
4374va (VaSet
4375)
4376xt "82000,65500,85000,66500"
4377st "denable"
4378blo "82000,66300"
4379)
4380)
4381thePort (LogicalPort
4382m 1
4383decl (Decl
4384n "denable"
4385t "std_logic"
4386eolc "-- default domino wave off"
4387posAdd 0
4388o 34
4389suid 67,0
4390i "'0'"
4391)
4392)
4393)
4394*153 (CptPort
4395uid 3197,0
4396ps "OnEdgeStrategy"
4397shape (Triangle
4398uid 3198,0
4399ro 270
4400va (VaSet
4401vasetType 1
4402fg "0,65535,0"
4403)
4404xt "80250,71625,81000,72375"
4405)
4406tg (CPTG
4407uid 3199,0
4408ps "CptPortTextPlaceStrategy"
4409stg "VerticalLayoutStrategy"
4410f (Text
4411uid 3200,0
4412va (VaSet
4413)
4414xt "82000,71500,85700,72500"
4415st "SRIN_out"
4416blo "82000,72300"
4417)
4418)
4419thePort (LogicalPort
4420m 1
4421decl (Decl
4422n "SRIN_out"
4423t "std_logic"
4424o 25
4425suid 85,0
4426i "'0'"
4427)
4428)
4429)
4430*154 (CptPort
4431uid 3201,0
4432ps "OnEdgeStrategy"
4433shape (Triangle
4434uid 3202,0
4435ro 90
4436va (VaSet
4437vasetType 1
4438fg "0,65535,0"
4439)
4440xt "109000,77625,109750,78375"
4441)
4442tg (CPTG
4443uid 3203,0
4444ps "CptPortTextPlaceStrategy"
4445stg "RightVerticalLayoutStrategy"
4446f (Text
4447uid 3204,0
4448va (VaSet
4449)
4450xt "105600,77500,108000,78500"
4451st "green"
4452ju 2
4453blo "108000,78300"
4454)
4455)
4456thePort (LogicalPort
4457m 1
4458decl (Decl
4459n "green"
4460t "std_logic"
4461o 37
4462suid 86,0
4463)
4464)
4465)
4466*155 (CptPort
4467uid 3205,0
4468ps "OnEdgeStrategy"
4469shape (Triangle
4470uid 3206,0
4471ro 90
4472va (VaSet
4473vasetType 1
4474fg "0,65535,0"
4475)
4476xt "109000,79625,109750,80375"
4477)
4478tg (CPTG
4479uid 3207,0
4480ps "CptPortTextPlaceStrategy"
4481stg "RightVerticalLayoutStrategy"
4482f (Text
4483uid 3208,0
4484va (VaSet
4485)
4486xt "105500,79500,108000,80500"
4487st "amber"
4488ju 2
4489blo "108000,80300"
4490)
4491)
4492thePort (LogicalPort
4493m 1
4494decl (Decl
4495n "amber"
4496t "std_logic"
4497o 29
4498suid 87,0
4499)
4500)
4501)
4502*156 (CptPort
4503uid 3209,0
4504ps "OnEdgeStrategy"
4505shape (Triangle
4506uid 3210,0
4507ro 90
4508va (VaSet
4509vasetType 1
4510fg "0,65535,0"
4511)
4512xt "109000,78625,109750,79375"
4513)
4514tg (CPTG
4515uid 3211,0
4516ps "CptPortTextPlaceStrategy"
4517stg "RightVerticalLayoutStrategy"
4518f (Text
4519uid 3212,0
4520va (VaSet
4521)
4522xt "106500,78500,108000,79500"
4523st "red"
4524ju 2
4525blo "108000,79300"
4526)
4527)
4528thePort (LogicalPort
4529m 1
4530decl (Decl
4531n "red"
4532t "std_logic"
4533o 41
4534suid 88,0
4535)
4536)
4537)
4538*157 (CptPort
4539uid 3213,0
4540ps "OnEdgeStrategy"
4541shape (Triangle
4542uid 3214,0
4543ro 90
4544va (VaSet
4545vasetType 1
4546fg "0,65535,0"
4547)
4548xt "80250,74625,81000,75375"
4549)
4550tg (CPTG
4551uid 3215,0
4552ps "CptPortTextPlaceStrategy"
4553stg "VerticalLayoutStrategy"
4554f (Text
4555uid 3216,0
4556va (VaSet
4557)
4558xt "82000,74500,87500,75500"
4559st "D_T_in : (1:0)"
4560blo "82000,75300"
4561)
4562)
4563thePort (LogicalPort
4564decl (Decl
4565n "D_T_in"
4566t "std_logic_vector"
4567b "(1 DOWNTO 0)"
4568o 2
4569suid 91,0
4570)
4571)
4572)
4573*158 (CptPort
4574uid 3217,0
4575ps "OnEdgeStrategy"
4576shape (Triangle
4577uid 3218,0
4578ro 90
4579va (VaSet
4580vasetType 1
4581fg "0,65535,0"
4582)
4583xt "80250,75625,81000,76375"
4584)
4585tg (CPTG
4586uid 3219,0
4587ps "CptPortTextPlaceStrategy"
4588stg "VerticalLayoutStrategy"
4589f (Text
4590uid 3220,0
4591va (VaSet
4592)
4593xt "82000,75500,87100,76500"
4594st "drs_refclk_in"
4595blo "82000,76300"
4596)
4597)
4598thePort (LogicalPort
4599decl (Decl
4600n "drs_refclk_in"
4601t "std_logic"
4602eolc "-- used to check if DRS REFCLK exsists, if not DENABLE inhibit"
4603o 12
4604suid 92,0
4605)
4606)
4607)
4608*159 (CptPort
4609uid 3221,0
4610ps "OnEdgeStrategy"
4611shape (Triangle
4612uid 3222,0
4613ro 90
4614va (VaSet
4615vasetType 1
4616fg "0,65535,0"
4617)
4618xt "80250,76625,81000,77375"
4619)
4620tg (CPTG
4621uid 3223,0
4622ps "CptPortTextPlaceStrategy"
4623stg "VerticalLayoutStrategy"
4624f (Text
4625uid 3224,0
4626va (VaSet
4627)
4628xt "82000,76500,88100,77500"
4629st "plllock_in : (3:0)"
4630blo "82000,77300"
4631)
4632)
4633thePort (LogicalPort
4634decl (Decl
4635n "plllock_in"
4636t "std_logic_vector"
4637b "(3 DOWNTO 0)"
4638eolc "-- high level, if dominowave is running and DRS PLL locked"
4639o 13
4640suid 93,0
4641)
4642)
4643)
4644*160 (CptPort
4645uid 3225,0
4646ps "OnEdgeStrategy"
4647shape (Triangle
4648uid 3226,0
4649ro 90
4650va (VaSet
4651vasetType 1
4652fg "0,65535,0"
4653)
4654xt "109000,76625,109750,77375"
4655)
4656tg (CPTG
4657uid 3227,0
4658ps "CptPortTextPlaceStrategy"
4659stg "RightVerticalLayoutStrategy"
4660f (Text
4661uid 3228,0
4662va (VaSet
4663)
4664xt "99400,76500,108000,77500"
4665st "counter_result : (11:0)"
4666ju 2
4667blo "108000,77300"
4668)
4669)
4670thePort (LogicalPort
4671m 1
4672decl (Decl
4673n "counter_result"
4674t "std_logic_vector"
4675b "(11 DOWNTO 0)"
4676o 30
4677suid 94,0
4678)
4679)
4680)
4681*161 (CptPort
4682uid 3229,0
4683ps "OnEdgeStrategy"
4684shape (Triangle
4685uid 3230,0
4686ro 90
4687va (VaSet
4688vasetType 1
4689fg "0,65535,0"
4690)
4691xt "109000,73625,109750,74375"
4692)
4693tg (CPTG
4694uid 3231,0
4695ps "CptPortTextPlaceStrategy"
4696stg "RightVerticalLayoutStrategy"
4697f (Text
4698uid 3232,0
4699va (VaSet
4700)
4701xt "99400,73500,108000,74500"
4702st "alarm_refclk_too_high"
4703ju 2
4704blo "108000,74300"
4705)
4706)
4707thePort (LogicalPort
4708m 1
4709decl (Decl
4710n "alarm_refclk_too_high"
4711t "std_logic"
4712o 27
4713suid 95,0
4714)
4715)
4716)
4717*162 (CptPort
4718uid 3233,0
4719ps "OnEdgeStrategy"
4720shape (Triangle
4721uid 3234,0
4722ro 90
4723va (VaSet
4724vasetType 1
4725fg "0,65535,0"
4726)
4727xt "109000,74625,109750,75375"
4728)
4729tg (CPTG
4730uid 3235,0
4731ps "CptPortTextPlaceStrategy"
4732stg "RightVerticalLayoutStrategy"
4733f (Text
4734uid 3236,0
4735va (VaSet
4736)
4737xt "99800,74500,108000,75500"
4738st "alarm_refclk_too_low"
4739ju 2
4740blo "108000,75300"
4741)
4742)
4743thePort (LogicalPort
4744m 1
4745decl (Decl
4746n "alarm_refclk_too_low"
4747t "std_logic"
4748posAdd 0
4749o 28
4750suid 96,0
4751)
4752)
4753)
4754*163 (CptPort
4755uid 3237,0
4756ps "OnEdgeStrategy"
4757shape (Triangle
4758uid 3238,0
4759ro 270
4760va (VaSet
4761vasetType 1
4762fg "0,65535,0"
4763)
4764xt "80250,23625,81000,24375"
4765)
4766tg (CPTG
4767uid 3239,0
4768ps "CptPortTextPlaceStrategy"
4769stg "VerticalLayoutStrategy"
4770f (Text
4771uid 3240,0
4772va (VaSet
4773)
4774xt "82000,23500,86000,24500"
4775st "ADC_CLK"
4776blo "82000,24300"
4777)
4778)
4779thePort (LogicalPort
4780lang 2
4781m 1
4782decl (Decl
4783n "ADC_CLK"
4784t "std_logic"
4785o 16
4786suid 97,0
4787)
4788)
4789)
4790*164 (CptPort
4791uid 3241,0
4792ps "OnEdgeStrategy"
4793shape (Triangle
4794uid 3242,0
4795ro 90
4796va (VaSet
4797vasetType 1
4798fg "0,65535,0"
4799)
4800xt "109000,87625,109750,88375"
4801)
4802tg (CPTG
4803uid 3243,0
4804ps "CptPortTextPlaceStrategy"
4805stg "RightVerticalLayoutStrategy"
4806f (Text
4807uid 3244,0
4808va (VaSet
4809)
4810xt "103100,87500,108000,88500"
4811st "trigger_veto"
4812ju 2
4813blo "108000,88300"
4814)
4815)
4816thePort (LogicalPort
4817m 1
4818decl (Decl
4819n "trigger_veto"
4820t "std_logic"
4821o 45
4822suid 98,0
4823i "'1'"
4824)
4825)
4826)
4827*165 (CptPort
4828uid 3245,0
4829ps "OnEdgeStrategy"
4830shape (Triangle
4831uid 3246,0
4832ro 90
4833va (VaSet
4834vasetType 1
4835fg "0,65535,0"
4836)
4837xt "80250,77625,81000,78375"
4838)
4839tg (CPTG
4840uid 3247,0
4841ps "CptPortTextPlaceStrategy"
4842stg "VerticalLayoutStrategy"
4843f (Text
4844uid 3248,0
4845va (VaSet
4846)
4847xt "82000,77500,89000,78500"
4848st "FTM_RS485_rx_d"
4849blo "82000,78300"
4850)
4851)
4852thePort (LogicalPort
4853decl (Decl
4854n "FTM_RS485_rx_d"
4855t "std_logic"
4856o 3
4857suid 99,0
4858)
4859)
4860)
4861*166 (CptPort
4862uid 3249,0
4863ps "OnEdgeStrategy"
4864shape (Triangle
4865uid 3250,0
4866ro 90
4867va (VaSet
4868vasetType 1
4869fg "0,65535,0"
4870)
4871xt "109000,84625,109750,85375"
4872)
4873tg (CPTG
4874uid 3251,0
4875ps "CptPortTextPlaceStrategy"
4876stg "RightVerticalLayoutStrategy"
4877f (Text
4878uid 3252,0
4879va (VaSet
4880)
4881xt "101100,84500,108000,85500"
4882st "FTM_RS485_tx_d"
4883ju 2
4884blo "108000,85300"
4885)
4886)
4887thePort (LogicalPort
4888m 1
4889decl (Decl
4890n "FTM_RS485_tx_d"
4891t "std_logic"
4892o 21
4893suid 100,0
4894)
4895)
4896)
4897*167 (CptPort
4898uid 3253,0
4899ps "OnEdgeStrategy"
4900shape (Triangle
4901uid 3254,0
4902ro 90
4903va (VaSet
4904vasetType 1
4905fg "0,65535,0"
4906)
4907xt "109000,83625,109750,84375"
4908)
4909tg (CPTG
4910uid 3255,0
4911ps "CptPortTextPlaceStrategy"
4912stg "RightVerticalLayoutStrategy"
4913f (Text
4914uid 3256,0
4915va (VaSet
4916)
4917xt "100600,83500,108000,84500"
4918st "FTM_RS485_rx_en"
4919ju 2
4920blo "108000,84300"
4921)
4922)
4923thePort (LogicalPort
4924m 1
4925decl (Decl
4926n "FTM_RS485_rx_en"
4927t "std_logic"
4928o 20
4929suid 101,0
4930)
4931)
4932)
4933*168 (CptPort
4934uid 3257,0
4935ps "OnEdgeStrategy"
4936shape (Triangle
4937uid 3258,0
4938ro 90
4939va (VaSet
4940vasetType 1
4941fg "0,65535,0"
4942)
4943xt "109000,85625,109750,86375"
4944)
4945tg (CPTG
4946uid 3259,0
4947ps "CptPortTextPlaceStrategy"
4948stg "RightVerticalLayoutStrategy"
4949f (Text
4950uid 3260,0
4951va (VaSet
4952)
4953xt "100700,85500,108000,86500"
4954st "FTM_RS485_tx_en"
4955ju 2
4956blo "108000,86300"
4957)
4958)
4959thePort (LogicalPort
4960m 1
4961decl (Decl
4962n "FTM_RS485_tx_en"
4963t "std_logic"
4964o 22
4965suid 102,0
4966)
4967)
4968)
4969*169 (CptPort
4970uid 3261,0
4971ps "OnEdgeStrategy"
4972shape (Triangle
4973uid 3262,0
4974ro 90
4975va (VaSet
4976vasetType 1
4977fg "0,65535,0"
4978)
4979xt "109000,88625,109750,89375"
4980)
4981tg (CPTG
4982uid 3263,0
4983ps "CptPortTextPlaceStrategy"
4984stg "RightVerticalLayoutStrategy"
4985f (Text
4986uid 3264,0
4987va (VaSet
4988)
4989xt "100900,88500,108000,89500"
4990st "w5300_state : (7:0)"
4991ju 2
4992blo "108000,89300"
4993)
4994)
4995thePort (LogicalPort
4996m 1
4997decl (Decl
4998n "w5300_state"
4999t "std_logic_vector"
5000b "(7 DOWNTO 0)"
5001eolc "-- state is encoded here ... useful for debugging."
5002posAdd 0
5003o 46
5004suid 103,0
5005)
5006)
5007)
5008*170 (CptPort
5009uid 3265,0
5010ps "OnEdgeStrategy"
5011shape (Triangle
5012uid 3266,0
5013ro 90
5014va (VaSet
5015vasetType 1
5016fg "0,65535,0"
5017)
5018xt "109000,80625,109750,81375"
5019)
5020tg (CPTG
5021uid 3267,0
5022ps "CptPortTextPlaceStrategy"
5023stg "RightVerticalLayoutStrategy"
5024f (Text
5025uid 3268,0
5026va (VaSet
5027)
5028xt "98900,80500,108000,81500"
5029st "debug_data_ram_empty"
5030ju 2
5031blo "108000,81300"
5032)
5033)
5034thePort (LogicalPort
5035m 1
5036decl (Decl
5037n "debug_data_ram_empty"
5038t "std_logic"
5039o 32
5040suid 104,0
5041)
5042)
5043)
5044*171 (CptPort
5045uid 3269,0
5046ps "OnEdgeStrategy"
5047shape (Triangle
5048uid 3270,0
5049ro 90
5050va (VaSet
5051vasetType 1
5052fg "0,65535,0"
5053)
5054xt "109000,81625,109750,82375"
5055)
5056tg (CPTG
5057uid 3271,0
5058ps "CptPortTextPlaceStrategy"
5059stg "RightVerticalLayoutStrategy"
5060f (Text
5061uid 3272,0
5062va (VaSet
5063)
5064xt "101400,81500,108000,82500"
5065st "debug_data_valid"
5066ju 2
5067blo "108000,82300"
5068)
5069)
5070thePort (LogicalPort
5071m 1
5072decl (Decl
5073n "debug_data_valid"
5074t "std_logic"
5075o 33
5076suid 105,0
5077)
5078)
5079)
5080*172 (CptPort
5081uid 3273,0
5082ps "OnEdgeStrategy"
5083shape (Triangle
5084uid 3274,0
5085ro 90
5086va (VaSet
5087vasetType 1
5088fg "0,65535,0"
5089)
5090xt "109000,86625,109750,87375"
5091)
5092tg (CPTG
5093uid 3275,0
5094ps "CptPortTextPlaceStrategy"
5095stg "RightVerticalLayoutStrategy"
5096f (Text
5097uid 3276,0
5098va (VaSet
5099)
5100xt "97600,86500,108000,87500"
5101st "mem_manager_state : (3:0)"
5102ju 2
5103blo "108000,87300"
5104)
5105)
5106thePort (LogicalPort
5107lang 2
5108m 1
5109decl (Decl
5110n "mem_manager_state"
5111t "std_logic_vector"
5112b "(3 DOWNTO 0)"
5113eolc "-- state is encoded here ... useful for debugging."
5114posAdd 0
5115o 39
5116suid 106,0
5117)
5118)
5119)
5120*173 (CptPort
5121uid 3277,0
5122ps "OnEdgeStrategy"
5123shape (Triangle
5124uid 3278,0
5125ro 90
5126va (VaSet
5127vasetType 1
5128fg "0,65535,0"
5129)
5130xt "109000,82625,109750,83375"
5131)
5132tg (CPTG
5133uid 3279,0
5134ps "CptPortTextPlaceStrategy"
5135stg "RightVerticalLayoutStrategy"
5136f (Text
5137uid 3280,0
5138va (VaSet
5139)
5140xt "101800,82500,108000,83500"
5141st "DG_state : (7:0)"
5142ju 2
5143blo "108000,83300"
5144)
5145)
5146thePort (LogicalPort
5147m 1
5148decl (Decl
5149n "DG_state"
5150t "std_logic_vector"
5151b "(7 downto 0)"
5152prec "-- for debugging"
5153preAdd 0
5154o 19
5155suid 108,0
5156)
5157)
5158)
5159*174 (CptPort
5160uid 3281,0
5161ps "OnEdgeStrategy"
5162shape (Triangle
5163uid 3282,0
5164ro 90
5165va (VaSet
5166vasetType 1
5167fg "0,65535,0"
5168)
5169xt "109000,89625,109750,90375"
5170)
5171tg (CPTG
5172uid 3283,0
5173ps "CptPortTextPlaceStrategy"
5174stg "RightVerticalLayoutStrategy"
5175f (Text
5176uid 3284,0
5177va (VaSet
5178)
5179xt "98100,89500,108000,90500"
5180st "socket_tx_free_out : (16:0)"
5181ju 2
5182blo "108000,90300"
5183)
5184)
5185thePort (LogicalPort
5186m 1
5187decl (Decl
5188n "socket_tx_free_out"
5189t "std_logic_vector"
5190b "(16 DOWNTO 0)"
5191eolc "-- 17bit value .. that's true"
5192posAdd 0
5193o 44
5194suid 109,0
5195)
5196)
5197)
5198]
5199shape (Rectangle
5200uid 3286,0
5201va (VaSet
5202vasetType 1
5203fg "0,65535,0"
5204lineColor "0,32896,0"
5205lineWidth 2
5206)
5207xt "81000,19000,109000,91000"
5208)
5209oxt "15000,-8000,43000,80000"
5210ttg (MlTextGroup
5211uid 3287,0
5212ps "CenterOffsetStrategy"
5213stg "VerticalLayoutStrategy"
5214textVec [
5215*175 (Text
5216uid 3288,0
5217va (VaSet
5218font "Arial,8,1"
5219)
5220xt "85200,84000,91400,85000"
5221st "FACT_FAD_lib"
5222blo "85200,84800"
5223tm "BdLibraryNameMgr"
5224)
5225*176 (Text
5226uid 3289,0
5227va (VaSet
5228font "Arial,8,1"
5229)
5230xt "85200,85000,95000,86000"
5231st "FAD_main_with_w53002"
5232blo "85200,85800"
5233tm "CptNameMgr"
5234)
5235*177 (Text
5236uid 3290,0
5237va (VaSet
5238font "Arial,8,1"
5239)
5240xt "85200,86000,86200,87000"
5241st "I0"
5242blo "85200,86800"
5243tm "InstanceNameMgr"
5244)
5245]
5246)
5247ga (GenericAssociation
5248uid 3291,0
5249ps "EdgeToEdgeStrategy"
5250matrix (Matrix
5251uid 3292,0
5252text (MLText
5253uid 3293,0
5254va (VaSet
5255font "Courier New,8,0"
5256)
5257xt "83000,18200,103000,19000"
5258st "RAMADDRWIDTH64b = 15 ( integer ) "
5259)
5260header ""
5261)
5262elements [
5263(GiElement
5264name "RAMADDRWIDTH64b"
5265type "integer"
5266value "15"
5267)
5268]
5269)
5270viewicon (ZoomableIcon
5271uid 3294,0
5272sl 0
5273va (VaSet
5274vasetType 1
5275fg "49152,49152,49152"
5276)
5277xt "81250,89250,82750,90750"
5278iconName "BlockDiagram.png"
5279iconMaskName "BlockDiagram.msk"
5280ftype 1
5281)
5282viewiconposition 0
5283portVis (PortSigDisplay
5284)
5285archFileType "UNKNOWN"
5286)
5287*178 (Wire
5288uid 286,0
5289shape (OrthoPolyLine
5290uid 287,0
5291va (VaSet
5292vasetType 3
5293)
5294xt "58750,21000,80250,21000"
5295pts [
5296"58750,21000"
5297"80250,21000"
5298]
5299)
5300start &13
5301end &136
5302sat 32
5303eat 32
5304st 0
5305sf 1
5306si 0
5307tg (WTG
5308uid 288,0
5309ps "ConnStartEndStrategy"
5310stg "STSignalDisplayStrategy"
5311f (Text
5312uid 289,0
5313va (VaSet
5314)
5315xt "71000,20000,72300,21000"
5316st "clk"
5317blo "71000,20800"
5318tm "WireNameMgr"
5319)
5320)
5321on &18
5322)
5323*179 (Wire
5324uid 318,0
5325shape (OrthoPolyLine
5326uid 319,0
5327va (VaSet
5328vasetType 3
5329lineWidth 2
5330)
5331xt "109750,21000,122250,21000"
5332pts [
5333"109750,21000"
5334"122250,21000"
5335]
5336)
5337start &128
5338end &101
5339sat 32
5340eat 32
5341sty 1
5342st 0
5343sf 1
5344si 0
5345tg (WTG
5346uid 320,0
5347ps "ConnStartEndStrategy"
5348stg "STSignalDisplayStrategy"
5349f (Text
5350uid 321,0
5351va (VaSet
5352)
5353xt "111000,20000,117000,21000"
5354st "wiz_addr : (9:0)"
5355blo "111000,20800"
5356tm "WireNameMgr"
5357)
5358)
5359on &19
5360)
5361*180 (Wire
5362uid 324,0
5363shape (OrthoPolyLine
5364uid 325,0
5365va (VaSet
5366vasetType 3
5367lineWidth 2
5368)
5369xt "109750,22000,122250,22000"
5370pts [
5371"109750,22000"
5372"122250,22000"
5373]
5374)
5375start &129
5376end &102
5377sat 32
5378eat 32
5379sty 1
5380st 0
5381sf 1
5382si 0
5383tg (WTG
5384uid 326,0
5385ps "ConnStartEndStrategy"
5386stg "STSignalDisplayStrategy"
5387f (Text
5388uid 327,0
5389va (VaSet
5390)
5391xt "111000,21000,117300,22000"
5392st "wiz_data : (15:0)"
5393blo "111000,21800"
5394tm "WireNameMgr"
5395)
5396)
5397on &20
5398)
5399*181 (Wire
5400uid 330,0
5401shape (OrthoPolyLine
5402uid 331,0
5403va (VaSet
5404vasetType 3
5405)
5406xt "109750,25000,122250,25000"
5407pts [
5408"109750,25000"
5409"122250,25000"
5410]
5411)
5412start &132
5413end &103
5414sat 32
5415eat 32
5416st 0
5417sf 1
5418si 0
5419tg (WTG
5420uid 332,0
5421ps "ConnStartEndStrategy"
5422stg "STSignalDisplayStrategy"
5423f (Text
5424uid 333,0
5425va (VaSet
5426)
5427xt "111000,24000,113600,25000"
5428st "wiz_rd"
5429blo "111000,24800"
5430tm "WireNameMgr"
5431)
5432)
5433on &21
5434)
5435*182 (Wire
5436uid 336,0
5437shape (OrthoPolyLine
5438uid 337,0
5439va (VaSet
5440vasetType 3
5441)
5442xt "109750,26000,122250,26000"
5443pts [
5444"109750,26000"
5445"122250,26000"
5446]
5447)
5448start &131
5449end &104
5450sat 32
5451eat 32
5452st 0
5453sf 1
5454si 0
5455tg (WTG
5456uid 338,0
5457ps "ConnStartEndStrategy"
5458stg "STSignalDisplayStrategy"
5459f (Text
5460uid 339,0
5461va (VaSet
5462)
5463xt "111000,25000,113700,26000"
5464st "wiz_wr"
5465blo "111000,25800"
5466tm "WireNameMgr"
5467)
5468)
5469on &22
5470)
5471*183 (Wire
5472uid 374,0
5473shape (OrthoPolyLine
5474uid 375,0
5475va (VaSet
5476vasetType 3
5477lineWidth 2
5478)
5479xt "109750,42000,122250,48000"
5480pts [
5481"109750,42000"
5482"120000,42000"
5483"120000,48000"
5484"122250,48000"
5485]
5486)
5487start &150
5488end &26
5489sat 32
5490eat 32
5491sty 1
5492st 0
5493sf 1
5494si 0
5495tg (WTG
5496uid 376,0
5497ps "ConnStartEndStrategy"
5498stg "STSignalDisplayStrategy"
5499f (Text
5500uid 377,0
5501va (VaSet
5502)
5503xt "111000,41000,117500,42000"
5504st "sensor_cs : (3:0)"
5505blo "111000,41800"
5506tm "WireNameMgr"
5507)
5508)
5509on &30
5510)
5511*184 (Wire
5512uid 380,0
5513shape (OrthoPolyLine
5514uid 381,0
5515va (VaSet
5516vasetType 3
5517)
5518xt "109750,51000,122250,51000"
5519pts [
5520"109750,51000"
5521"122250,51000"
5522]
5523)
5524start &147
5525end &24
5526sat 32
5527eat 32
5528st 0
5529sf 1
5530si 0
5531tg (WTG
5532uid 382,0
5533ps "ConnStartEndStrategy"
5534stg "STSignalDisplayStrategy"
5535f (Text
5536uid 383,0
5537va (VaSet
5538)
5539xt "111000,50000,112700,51000"
5540st "sclk"
5541blo "111000,50800"
5542tm "WireNameMgr"
5543)
5544)
5545on &31
5546)
5547*185 (Wire
5548uid 386,0
5549shape (OrthoPolyLine
5550uid 387,0
5551va (VaSet
5552vasetType 3
5553)
5554xt "109750,52000,122250,52000"
5555pts [
5556"109750,52000"
5557"122250,52000"
5558]
5559)
5560start &148
5561end &25
5562sat 32
5563eat 32
5564st 0
5565sf 1
5566si 0
5567tg (WTG
5568uid 388,0
5569ps "ConnStartEndStrategy"
5570stg "STSignalDisplayStrategy"
5571f (Text
5572uid 389,0
5573va (VaSet
5574)
5575xt "111000,51000,112400,52000"
5576st "sio"
5577blo "111000,51800"
5578tm "WireNameMgr"
5579)
5580)
5581on &32
5582)
5583*186 (Wire
5584uid 426,0
5585shape (OrthoPolyLine
5586uid 427,0
5587va (VaSet
5588vasetType 3
5589)
5590xt "58750,32000,80250,32000"
5591pts [
5592"58750,32000"
5593"80250,32000"
5594]
5595)
5596start &34
5597end &124
5598sat 32
5599eat 32
5600st 0
5601sf 1
5602tg (WTG
5603uid 428,0
5604ps "ConnStartEndStrategy"
5605stg "STSignalDisplayStrategy"
5606f (Text
5607uid 429,0
5608va (VaSet
5609)
5610xt "71000,31000,73800,32000"
5611st "trigger"
5612blo "71000,31800"
5613tm "WireNameMgr"
5614)
5615)
5616on &38
5617)
5618*187 (Wire
5619uid 442,0
5620shape (OrthoPolyLine
5621uid 443,0
5622va (VaSet
5623vasetType 3
5624lineWidth 2
5625)
5626xt "58000,34000,80250,42000"
5627pts [
5628"80250,34000"
5629"64000,34000"
5630"64000,42000"
5631"58000,42000"
5632]
5633)
5634start &126
5635end &39
5636sat 32
5637eat 2
5638sty 1
5639st 0
5640sf 1
5641si 0
5642tg (WTG
5643uid 446,0
5644ps "ConnStartEndStrategy"
5645stg "STSignalDisplayStrategy"
5646f (Text
5647uid 447,0
5648va (VaSet
5649)
5650xt "71000,33000,76900,34000"
5651st "board_id : (3:0)"
5652blo "71000,33800"
5653tm "WireNameMgr"
5654)
5655)
5656on &43
5657)
5658*188 (Wire
5659uid 450,0
5660shape (OrthoPolyLine
5661uid 451,0
5662va (VaSet
5663vasetType 3
5664lineWidth 2
5665)
5666xt "58000,35000,80250,43000"
5667pts [
5668"80250,35000"
5669"65000,35000"
5670"65000,43000"
5671"58000,43000"
5672]
5673)
5674start &127
5675end &39
5676sat 32
5677eat 2
5678sty 1
5679st 0
5680sf 1
5681si 0
5682tg (WTG
5683uid 454,0
5684ps "ConnStartEndStrategy"
5685stg "STSignalDisplayStrategy"
5686f (Text
5687uid 455,0
5688va (VaSet
5689)
5690xt "71000,34000,76700,35000"
5691st "crate_id : (1:0)"
5692blo "71000,34800"
5693tm "WireNameMgr"
5694)
5695)
5696on &44
5697)
5698*189 (Wire
5699uid 530,0
5700shape (OrthoPolyLine
5701uid 531,0
5702va (VaSet
5703vasetType 3
5704lineWidth 2
5705)
5706xt "58000,42000,80250,53000"
5707pts [
5708"80250,42000"
5709"68000,42000"
5710"68000,53000"
5711"58000,53000"
5712]
5713)
5714start &137
5715end &53
5716sat 32
5717eat 2
5718sty 1
5719st 0
5720sf 1
5721si 0
5722tg (WTG
5723uid 534,0
5724ps "ConnStartEndStrategy"
5725stg "STSignalDisplayStrategy"
5726f (Text
5727uid 535,0
5728va (VaSet
5729)
5730xt "71000,41000,79000,42000"
5731st "adc_otr_array : (3:0)"
5732blo "71000,41800"
5733tm "WireNameMgr"
5734)
5735)
5736on &57
5737)
5738*190 (Wire
5739uid 538,0
5740shape (OrthoPolyLine
5741uid 539,0
5742va (VaSet
5743vasetType 3
5744lineWidth 2
5745)
5746xt "58000,48000,80250,55000"
5747pts [
5748"80250,48000"
5749"70000,48000"
5750"70000,55000"
5751"58000,55000"
5752]
5753)
5754start &138
5755end &53
5756sat 32
5757eat 2
5758sty 1
5759st 0
5760sf 1
5761si 0
5762tg (WTG
5763uid 542,0
5764ps "ConnStartEndStrategy"
5765stg "STSignalDisplayStrategy"
5766f (Text
5767uid 543,0
5768va (VaSet
5769)
5770xt "71000,47000,76900,48000"
5771st "adc_data_array"
5772blo "71000,47800"
5773tm "WireNameMgr"
5774)
5775)
5776on &58
5777)
5778*191 (Wire
5779uid 546,0
5780shape (OrthoPolyLine
5781uid 547,0
5782va (VaSet
5783vasetType 3
5784)
5785xt "58000,43000,80250,54000"
5786pts [
5787"80250,43000"
5788"69000,43000"
5789"69000,54000"
5790"58000,54000"
5791]
5792)
5793start &125
5794end &53
5795sat 32
5796eat 1
5797st 0
5798sf 1
5799si 0
5800tg (WTG
5801uid 550,0
5802ps "ConnStartEndStrategy"
5803stg "STSignalDisplayStrategy"
5804f (Text
5805uid 551,0
5806va (VaSet
5807)
5808xt "71000,42000,74200,43000"
5809st "adc_oeb"
5810blo "71000,42800"
5811tm "WireNameMgr"
5812)
5813)
5814on &59
5815)
5816*192 (Wire
5817uid 554,0
5818shape (OrthoPolyLine
5819uid 555,0
5820va (VaSet
5821vasetType 3
5822)
5823xt "40750,54000,50000,54000"
5824pts [
5825"50000,54000"
5826"40750,54000"
5827]
5828)
5829start &53
5830end &49
5831sat 2
5832eat 32
5833st 0
5834sf 1
5835tg (WTG
5836uid 558,0
5837ps "ConnStartEndStrategy"
5838stg "STSignalDisplayStrategy"
5839f (Text
5840uid 559,0
5841va (VaSet
5842)
5843xt "42000,53000,45200,54000"
5844st "adc_oeb"
5845blo "42000,53800"
5846tm "WireNameMgr"
5847)
5848)
5849on &59
5850)
5851*193 (Wire
5852uid 562,0
5853shape (OrthoPolyLine
5854uid 563,0
5855va (VaSet
5856vasetType 3
5857)
5858xt "40750,53000,50000,53000"
5859pts [
5860"40750,53000"
5861"50000,53000"
5862]
5863)
5864start &48
5865end &53
5866sat 32
5867eat 1
5868st 0
5869sf 1
5870tg (WTG
5871uid 566,0
5872ps "ConnStartEndStrategy"
5873stg "STSignalDisplayStrategy"
5874f (Text
5875uid 567,0
5876va (VaSet
5877)
5878xt "42000,52000,44900,53000"
5879st "adc_otr"
5880blo "42000,52800"
5881tm "WireNameMgr"
5882)
5883)
5884on &60
5885)
5886*194 (Wire
5887uid 570,0
5888shape (OrthoPolyLine
5889uid 571,0
5890va (VaSet
5891vasetType 3
5892lineWidth 2
5893)
5894xt "40750,55000,50000,55000"
5895pts [
5896"40750,55000"
5897"50000,55000"
5898]
5899)
5900start &47
5901end &53
5902sat 32
5903eat 1
5904sty 1
5905st 0
5906sf 1
5907tg (WTG
5908uid 574,0
5909ps "ConnStartEndStrategy"
5910stg "STSignalDisplayStrategy"
5911f (Text
5912uid 575,0
5913va (VaSet
5914)
5915xt "42000,54000,48400,55000"
5916st "adc_data : (11:0)"
5917blo "42000,54800"
5918tm "WireNameMgr"
5919)
5920)
5921on &61
5922)
5923*195 (Wire
5924uid 578,0
5925shape (OrthoPolyLine
5926uid 579,0
5927va (VaSet
5928vasetType 3
5929)
5930xt "24000,53000,29250,53000"
5931pts [
5932"29250,53000"
5933"24000,53000"
5934]
5935)
5936start &46
5937sat 32
5938eat 16
5939st 0
5940sf 1
5941tg (WTG
5942uid 582,0
5943ps "ConnStartEndStrategy"
5944stg "STSignalDisplayStrategy"
5945f (Text
5946uid 583,0
5947va (VaSet
5948)
5949xt "25000,52000,29000,53000"
5950st "ADC_CLK"
5951blo "25000,52800"
5952tm "WireNameMgr"
5953)
5954)
5955on &98
5956)
5957*196 (Wire
5958uid 769,0
5959shape (OrthoPolyLine
5960uid 770,0
5961va (VaSet
5962vasetType 3
5963)
5964xt "109750,24000,116000,24000"
5965pts [
5966"109750,24000"
5967"116000,24000"
5968]
5969)
5970start &122
5971sat 32
5972eat 16
5973st 0
5974sf 1
5975si 0
5976tg (WTG
5977uid 773,0
5978ps "ConnStartEndStrategy"
5979stg "STSignalDisplayStrategy"
5980f (Text
5981uid 774,0
5982va (VaSet
5983)
5984xt "111000,23000,114600,24000"
5985st "wiz_reset"
5986blo "111000,23800"
5987tm "WireNameMgr"
5988)
5989)
5990on &62
5991)
5992*197 (Wire
5993uid 777,0
5994shape (OrthoPolyLine
5995uid 778,0
5996va (VaSet
5997vasetType 3
5998lineWidth 2
5999)
6000xt "109750,70000,116000,70000"
6001pts [
6002"109750,70000"
6003"116000,70000"
6004]
6005)
6006start &123
6007sat 32
6008eat 16
6009sty 1
6010st 0
6011sf 1
6012si 0
6013tg (WTG
6014uid 781,0
6015ps "ConnStartEndStrategy"
6016stg "STSignalDisplayStrategy"
6017f (Text
6018uid 782,0
6019va (VaSet
6020)
6021xt "111000,69000,115000,70000"
6022st "led : (7:0)"
6023blo "111000,69800"
6024tm "WireNameMgr"
6025)
6026)
6027on &63
6028)
6029*198 (Wire
6030uid 785,0
6031shape (OrthoPolyLine
6032uid 786,0
6033va (VaSet
6034vasetType 3
6035)
6036xt "109750,28000,122250,28000"
6037pts [
6038"109750,28000"
6039"122250,28000"
6040]
6041)
6042start &130
6043end &106
6044sat 32
6045eat 32
6046st 0
6047sf 1
6048si 0
6049tg (WTG
6050uid 789,0
6051ps "ConnStartEndStrategy"
6052stg "STSignalDisplayStrategy"
6053f (Text
6054uid 790,0
6055va (VaSet
6056)
6057xt "111000,27000,113700,28000"
6058st "wiz_cs"
6059blo "111000,27800"
6060tm "WireNameMgr"
6061)
6062)
6063on &64
6064)
6065*199 (Wire
6066uid 793,0
6067shape (OrthoPolyLine
6068uid 794,0
6069va (VaSet
6070vasetType 3
6071)
6072xt "109750,27000,122250,27000"
6073pts [
6074"122250,27000"
6075"109750,27000"
6076]
6077)
6078start &105
6079end &133
6080sat 32
6081eat 32
6082st 0
6083sf 1
6084si 0
6085tg (WTG
6086uid 797,0
6087ps "ConnStartEndStrategy"
6088stg "STSignalDisplayStrategy"
6089f (Text
6090uid 798,0
6091va (VaSet
6092)
6093xt "111000,26000,113700,27000"
6094st "wiz_int"
6095blo "111000,26800"
6096tm "WireNameMgr"
6097)
6098)
6099on &65
6100)
6101*200 (Wire
6102uid 801,0
6103shape (OrthoPolyLine
6104uid 802,0
6105va (VaSet
6106vasetType 3
6107)
6108xt "109750,40000,116000,40000"
6109pts [
6110"109750,40000"
6111"116000,40000"
6112]
6113)
6114start &149
6115sat 32
6116eat 16
6117st 0
6118sf 1
6119si 0
6120tg (WTG
6121uid 805,0
6122ps "ConnStartEndStrategy"
6123stg "STSignalDisplayStrategy"
6124f (Text
6125uid 806,0
6126va (VaSet
6127)
6128xt "111000,39000,113800,40000"
6129st "dac_cs"
6130blo "111000,39800"
6131tm "WireNameMgr"
6132)
6133)
6134on &66
6135)
6136*201 (Wire
6137uid 809,0
6138shape (OrthoPolyLine
6139uid 810,0
6140va (VaSet
6141vasetType 3
6142)
6143xt "109750,53000,116000,53000"
6144pts [
6145"109750,53000"
6146"116000,53000"
6147]
6148)
6149start &151
6150sat 32
6151eat 16
6152st 0
6153sf 1
6154si 0
6155tg (WTG
6156uid 813,0
6157ps "ConnStartEndStrategy"
6158stg "STSignalDisplayStrategy"
6159f (Text
6160uid 814,0
6161va (VaSet
6162)
6163xt "111000,52000,113000,53000"
6164st "mosi"
6165blo "111000,52800"
6166tm "WireNameMgr"
6167)
6168)
6169on &67
6170)
6171*202 (Wire
6172uid 817,0
6173shape (OrthoPolyLine
6174uid 818,0
6175va (VaSet
6176vasetType 3
6177)
6178xt "70000,66000,80250,66000"
6179pts [
6180"80250,66000"
6181"70000,66000"
6182]
6183)
6184start &152
6185sat 32
6186eat 16
6187st 0
6188sf 1
6189si 0
6190tg (WTG
6191uid 821,0
6192ps "ConnStartEndStrategy"
6193stg "STSignalDisplayStrategy"
6194f (Text
6195uid 822,0
6196va (VaSet
6197)
6198xt "71000,65000,74000,66000"
6199st "denable"
6200blo "71000,65800"
6201tm "WireNameMgr"
6202)
6203)
6204on &68
6205)
6206*203 (Wire
6207uid 825,0
6208shape (OrthoPolyLine
6209uid 826,0
6210va (VaSet
6211vasetType 3
6212)
6213xt "70000,23000,80250,23000"
6214pts [
6215"80250,23000"
6216"70000,23000"
6217]
6218)
6219start &134
6220sat 32
6221eat 16
6222st 0
6223sf 1
6224si 0
6225tg (WTG
6226uid 829,0
6227ps "ConnStartEndStrategy"
6228stg "STSignalDisplayStrategy"
6229f (Text
6230uid 830,0
6231va (VaSet
6232)
6233xt "71000,22000,75500,23000"
6234st "CLK_25_PS"
6235blo "71000,22800"
6236tm "WireNameMgr"
6237)
6238)
6239on &69
6240)
6241*204 (Wire
6242uid 833,0
6243shape (OrthoPolyLine
6244uid 834,0
6245va (VaSet
6246vasetType 3
6247)
6248xt "70000,22000,80250,22000"
6249pts [
6250"80250,22000"
6251"70000,22000"
6252]
6253)
6254start &135
6255sat 32
6256eat 16
6257st 0
6258sf 1
6259si 0
6260tg (WTG
6261uid 837,0
6262ps "ConnStartEndStrategy"
6263stg "STSignalDisplayStrategy"
6264f (Text
6265uid 838,0
6266va (VaSet
6267)
6268xt "71000,21000,74100,22000"
6269st "CLK_50"
6270blo "71000,21800"
6271tm "WireNameMgr"
6272)
6273)
6274on &70
6275)
6276*205 (Wire
6277uid 841,0
6278shape (OrthoPolyLine
6279uid 842,0
6280va (VaSet
6281vasetType 3
6282lineWidth 2
6283)
6284xt "70000,62000,80250,62000"
6285pts [
6286"80250,62000"
6287"70000,62000"
6288]
6289)
6290start &139
6291sat 32
6292eat 16
6293sty 1
6294st 0
6295sf 1
6296si 0
6297tg (WTG
6298uid 845,0
6299ps "ConnStartEndStrategy"
6300stg "STSignalDisplayStrategy"
6301f (Text
6302uid 846,0
6303va (VaSet
6304)
6305xt "71000,61000,79500,62000"
6306st "drs_channel_id : (3:0)"
6307blo "71000,61800"
6308tm "WireNameMgr"
6309)
6310)
6311on &71
6312)
6313*206 (Wire
6314uid 849,0
6315shape (OrthoPolyLine
6316uid 850,0
6317va (VaSet
6318vasetType 3
6319)
6320xt "70000,67000,80250,67000"
6321pts [
6322"80250,67000"
6323"70000,67000"
6324]
6325)
6326start &140
6327sat 32
6328eat 16
6329st 0
6330sf 1
6331si 0
6332tg (WTG
6333uid 853,0
6334ps "ConnStartEndStrategy"
6335stg "STSignalDisplayStrategy"
6336f (Text
6337uid 854,0
6338va (VaSet
6339)
6340xt "71000,66000,75300,67000"
6341st "drs_dwrite"
6342blo "71000,66800"
6343tm "WireNameMgr"
6344)
6345)
6346on &72
6347)
6348*207 (Wire
6349uid 857,0
6350shape (OrthoPolyLine
6351uid 858,0
6352va (VaSet
6353vasetType 3
6354)
6355xt "70000,64000,80250,64000"
6356pts [
6357"80250,64000"
6358"70000,64000"
6359]
6360)
6361start &145
6362sat 32
6363eat 16
6364st 0
6365sf 1
6366si 0
6367tg (WTG
6368uid 861,0
6369ps "ConnStartEndStrategy"
6370stg "STSignalDisplayStrategy"
6371f (Text
6372uid 862,0
6373va (VaSet
6374)
6375xt "71000,63000,75200,64000"
6376st "RSRLOAD"
6377blo "71000,63800"
6378tm "WireNameMgr"
6379)
6380)
6381on &73
6382)
6383*208 (Wire
6384uid 865,0
6385shape (OrthoPolyLine
6386uid 866,0
6387va (VaSet
6388vasetType 3
6389)
6390xt "70000,65000,80250,65000"
6391pts [
6392"80250,65000"
6393"70000,65000"
6394]
6395)
6396start &146
6397sat 32
6398eat 16
6399st 0
6400sf 1
6401si 0
6402tg (WTG
6403uid 869,0
6404ps "ConnStartEndStrategy"
6405stg "STSignalDisplayStrategy"
6406f (Text
6407uid 870,0
6408va (VaSet
6409)
6410xt "71000,64000,74000,65000"
6411st "SRCLK"
6412blo "71000,64800"
6413tm "WireNameMgr"
6414)
6415)
6416on &74
6417)
6418*209 (Wire
6419uid 873,0
6420shape (OrthoPolyLine
6421uid 874,0
6422va (VaSet
6423vasetType 3
6424)
6425xt "70000,58000,80250,58000"
6426pts [
6427"70000,58000"
6428"80250,58000"
6429]
6430)
6431end &141
6432sat 16
6433eat 32
6434st 0
6435sf 1
6436si 0
6437tg (WTG
6438uid 877,0
6439ps "ConnStartEndStrategy"
6440stg "STSignalDisplayStrategy"
6441f (Text
6442uid 878,0
6443va (VaSet
6444)
6445xt "71000,57000,76400,58000"
6446st "SROUT_in_0"
6447blo "71000,57800"
6448tm "WireNameMgr"
6449)
6450)
6451on &75
6452)
6453*210 (Wire
6454uid 881,0
6455shape (OrthoPolyLine
6456uid 882,0
6457va (VaSet
6458vasetType 3
6459)
6460xt "70000,59000,80250,59000"
6461pts [
6462"70000,59000"
6463"80250,59000"
6464]
6465)
6466end &142
6467sat 16
6468eat 32
6469st 0
6470sf 1
6471si 0
6472tg (WTG
6473uid 885,0
6474ps "ConnStartEndStrategy"
6475stg "STSignalDisplayStrategy"
6476f (Text
6477uid 886,0
6478va (VaSet
6479)
6480xt "71000,58000,76400,59000"
6481st "SROUT_in_1"
6482blo "71000,58800"
6483tm "WireNameMgr"
6484)
6485)
6486on &76
6487)
6488*211 (Wire
6489uid 889,0
6490shape (OrthoPolyLine
6491uid 890,0
6492va (VaSet
6493vasetType 3
6494)
6495xt "70000,60000,80250,60000"
6496pts [
6497"70000,60000"
6498"80250,60000"
6499]
6500)
6501end &143
6502sat 16
6503eat 32
6504st 0
6505sf 1
6506si 0
6507tg (WTG
6508uid 893,0
6509ps "ConnStartEndStrategy"
6510stg "STSignalDisplayStrategy"
6511f (Text
6512uid 894,0
6513va (VaSet
6514)
6515xt "71000,59000,76400,60000"
6516st "SROUT_in_2"
6517blo "71000,59800"
6518tm "WireNameMgr"
6519)
6520)
6521on &77
6522)
6523*212 (Wire
6524uid 897,0
6525shape (OrthoPolyLine
6526uid 898,0
6527va (VaSet
6528vasetType 3
6529)
6530xt "70000,61000,80250,61000"
6531pts [
6532"70000,61000"
6533"80250,61000"
6534]
6535)
6536end &144
6537sat 16
6538eat 32
6539st 0
6540sf 1
6541si 0
6542tg (WTG
6543uid 901,0
6544ps "ConnStartEndStrategy"
6545stg "STSignalDisplayStrategy"
6546f (Text
6547uid 902,0
6548va (VaSet
6549)
6550xt "71000,60000,76400,61000"
6551st "SROUT_in_3"
6552blo "71000,60800"
6553tm "WireNameMgr"
6554)
6555)
6556on &78
6557)
6558*213 (Wire
6559uid 1437,0
6560shape (OrthoPolyLine
6561uid 1438,0
6562va (VaSet
6563vasetType 3
6564)
6565xt "73000,72000,80250,72000"
6566pts [
6567"80250,72000"
6568"73000,72000"
6569]
6570)
6571start &153
6572sat 32
6573eat 16
6574st 0
6575sf 1
6576si 0
6577tg (WTG
6578uid 1441,0
6579ps "ConnStartEndStrategy"
6580stg "STSignalDisplayStrategy"
6581f (Text
6582uid 1442,0
6583va (VaSet
6584)
6585xt "76000,72000,79700,73000"
6586st "SRIN_out"
6587blo "76000,72800"
6588tm "WireNameMgr"
6589)
6590)
6591on &79
6592)
6593*214 (Wire
6594uid 1445,0
6595shape (OrthoPolyLine
6596uid 1446,0
6597va (VaSet
6598vasetType 3
6599)
6600xt "109750,80000,115000,80000"
6601pts [
6602"109750,80000"
6603"115000,80000"
6604]
6605)
6606start &155
6607sat 32
6608eat 16
6609st 0
6610sf 1
6611si 0
6612tg (WTG
6613uid 1449,0
6614ps "ConnStartEndStrategy"
6615stg "STSignalDisplayStrategy"
6616f (Text
6617uid 1450,0
6618va (VaSet
6619)
6620xt "111000,79000,113500,80000"
6621st "amber"
6622blo "111000,79800"
6623tm "WireNameMgr"
6624)
6625)
6626on &80
6627)
6628*215 (Wire
6629uid 1453,0
6630shape (OrthoPolyLine
6631uid 1454,0
6632va (VaSet
6633vasetType 3
6634)
6635xt "109750,79000,114000,79000"
6636pts [
6637"109750,79000"
6638"114000,79000"
6639]
6640)
6641start &156
6642sat 32
6643eat 16
6644st 0
6645sf 1
6646si 0
6647tg (WTG
6648uid 1457,0
6649ps "ConnStartEndStrategy"
6650stg "STSignalDisplayStrategy"
6651f (Text
6652uid 1458,0
6653va (VaSet
6654)
6655xt "111000,78000,112500,79000"
6656st "red"
6657blo "111000,78800"
6658tm "WireNameMgr"
6659)
6660)
6661on &81
6662)
6663*216 (Wire
6664uid 1461,0
6665shape (OrthoPolyLine
6666uid 1462,0
6667va (VaSet
6668vasetType 3
6669)
6670xt "109750,78000,114000,78000"
6671pts [
6672"109750,78000"
6673"114000,78000"
6674]
6675)
6676start &154
6677sat 32
6678eat 16
6679st 0
6680sf 1
6681si 0
6682tg (WTG
6683uid 1465,0
6684ps "ConnStartEndStrategy"
6685stg "STSignalDisplayStrategy"
6686f (Text
6687uid 1466,0
6688va (VaSet
6689)
6690xt "111000,77000,113400,78000"
6691st "green"
6692blo "111000,77800"
6693tm "WireNameMgr"
6694)
6695)
6696on &82
6697)
6698*217 (Wire
6699uid 1469,0
6700shape (OrthoPolyLine
6701uid 1470,0
6702va (VaSet
6703vasetType 3
6704lineWidth 2
6705)
6706xt "109750,77000,121000,77000"
6707pts [
6708"109750,77000"
6709"121000,77000"
6710]
6711)
6712start &160
6713sat 32
6714eat 16
6715sty 1
6716st 0
6717sf 1
6718si 0
6719tg (WTG
6720uid 1473,0
6721ps "ConnStartEndStrategy"
6722stg "STSignalDisplayStrategy"
6723f (Text
6724uid 1474,0
6725va (VaSet
6726)
6727xt "111000,76000,119600,77000"
6728st "counter_result : (11:0)"
6729blo "111000,76800"
6730tm "WireNameMgr"
6731)
6732)
6733on &83
6734)
6735*218 (Wire
6736uid 1477,0
6737shape (OrthoPolyLine
6738uid 1478,0
6739va (VaSet
6740vasetType 3
6741)
6742xt "109750,75000,120000,75000"
6743pts [
6744"109750,75000"
6745"120000,75000"
6746]
6747)
6748start &162
6749sat 32
6750eat 16
6751st 0
6752sf 1
6753si 0
6754tg (WTG
6755uid 1481,0
6756ps "ConnStartEndStrategy"
6757stg "STSignalDisplayStrategy"
6758f (Text
6759uid 1482,0
6760va (VaSet
6761)
6762xt "111000,74000,119200,75000"
6763st "alarm_refclk_too_low"
6764blo "111000,74800"
6765tm "WireNameMgr"
6766)
6767)
6768on &84
6769)
6770*219 (Wire
6771uid 1485,0
6772shape (OrthoPolyLine
6773uid 1486,0
6774va (VaSet
6775vasetType 3
6776)
6777xt "109750,74000,121000,74000"
6778pts [
6779"109750,74000"
6780"121000,74000"
6781]
6782)
6783start &161
6784sat 32
6785eat 16
6786st 0
6787sf 1
6788si 0
6789tg (WTG
6790uid 1489,0
6791ps "ConnStartEndStrategy"
6792stg "STSignalDisplayStrategy"
6793f (Text
6794uid 1490,0
6795va (VaSet
6796)
6797xt "111000,73000,119600,74000"
6798st "alarm_refclk_too_high"
6799blo "111000,73800"
6800tm "WireNameMgr"
6801)
6802)
6803on &85
6804)
6805*220 (Wire
6806uid 1503,0
6807shape (OrthoPolyLine
6808uid 1504,0
6809va (VaSet
6810vasetType 3
6811lineWidth 2
6812)
6813xt "73000,75000,80250,75000"
6814pts [
6815"73000,75000"
6816"80250,75000"
6817]
6818)
6819end &157
6820sat 16
6821eat 32
6822sty 1
6823st 0
6824sf 1
6825si 0
6826tg (WTG
6827uid 1507,0
6828ps "ConnStartEndStrategy"
6829stg "STSignalDisplayStrategy"
6830f (Text
6831uid 1508,0
6832va (VaSet
6833)
6834xt "74000,74000,79500,75000"
6835st "D_T_in : (1:0)"
6836blo "74000,74800"
6837tm "WireNameMgr"
6838)
6839)
6840on &90
6841)
6842*221 (Wire
6843uid 1529,0
6844shape (OrthoPolyLine
6845uid 1530,0
6846va (VaSet
6847vasetType 3
6848)
6849xt "66750,76000,80250,79000"
6850pts [
6851"66750,79000"
6852"70000,79000"
6853"70000,76000"
6854"80250,76000"
6855]
6856)
6857start &92
6858end &158
6859sat 32
6860eat 32
6861st 0
6862sf 1
6863si 0
6864tg (WTG
6865uid 1531,0
6866ps "ConnStartEndStrategy"
6867stg "STSignalDisplayStrategy"
6868f (Text
6869uid 1532,0
6870va (VaSet
6871)
6872xt "68750,78000,72650,79000"
6873st "REF_CLK"
6874blo "68750,78800"
6875tm "WireNameMgr"
6876)
6877)
6878on &99
6879)
6880*222 (Wire
6881uid 1533,0
6882shape (OrthoPolyLine
6883uid 1534,0
6884va (VaSet
6885vasetType 3
6886)
6887xt "35000,70000,45000,70000"
6888pts [
6889"35000,70000"
6890"45000,70000"
6891]
6892)
6893start &86
6894sat 2
6895eat 16
6896st 0
6897sf 1
6898si 0
6899tg (WTG
6900uid 1539,0
6901ps "ConnStartEndStrategy"
6902stg "STSignalDisplayStrategy"
6903f (Text
6904uid 1540,0
6905va (VaSet
6906)
6907xt "37000,69000,42500,70000"
6908st "D_T_in : (1:0)"
6909blo "37000,69800"
6910tm "WireNameMgr"
6911)
6912)
6913on &90
6914)
6915*223 (Wire
6916uid 1561,0
6917shape (OrthoPolyLine
6918uid 1562,0
6919va (VaSet
6920vasetType 3
6921lineWidth 2
6922)
6923xt "72000,77000,80250,77000"
6924pts [
6925"72000,77000"
6926"80250,77000"
6927]
6928)
6929end &159
6930sat 16
6931eat 32
6932sty 1
6933st 0
6934sf 1
6935si 0
6936tg (WTG
6937uid 1565,0
6938ps "ConnStartEndStrategy"
6939stg "STSignalDisplayStrategy"
6940f (Text
6941uid 1566,0
6942va (VaSet
6943)
6944xt "73000,76000,79100,77000"
6945st "plllock_in : (3:0)"
6946blo "73000,76800"
6947tm "WireNameMgr"
6948)
6949)
6950on &97
6951)
6952*224 (Wire
6953uid 1567,0
6954shape (OrthoPolyLine
6955uid 1568,0
6956va (VaSet
6957vasetType 3
6958)
6959xt "35000,71000,45000,71000"
6960pts [
6961"35000,71000"
6962"45000,71000"
6963]
6964)
6965start &86
6966sat 2
6967eat 16
6968st 0
6969sf 1
6970si 0
6971tg (WTG
6972uid 1573,0
6973ps "ConnStartEndStrategy"
6974stg "STSignalDisplayStrategy"
6975f (Text
6976uid 1574,0
6977va (VaSet
6978)
6979xt "37000,70000,43100,71000"
6980st "plllock_in : (3:0)"
6981blo "37000,70800"
6982tm "WireNameMgr"
6983)
6984)
6985on &97
6986)
6987*225 (Wire
6988uid 1684,0
6989shape (OrthoPolyLine
6990uid 1685,0
6991va (VaSet
6992vasetType 3
6993)
6994xt "70000,24000,80250,24000"
6995pts [
6996"80250,24000"
6997"70000,24000"
6998]
6999)
7000start &163
7001sat 32
7002eat 16
7003st 0
7004sf 1
7005si 0
7006tg (WTG
7007uid 1688,0
7008ps "ConnStartEndStrategy"
7009stg "STSignalDisplayStrategy"
7010f (Text
7011uid 1689,0
7012va (VaSet
7013)
7014xt "71000,23000,75000,24000"
7015st "ADC_CLK"
7016blo "71000,23800"
7017tm "WireNameMgr"
7018)
7019)
7020on &98
7021)
7022*226 (Wire
7023uid 2707,0
7024shape (OrthoPolyLine
7025uid 2708,0
7026va (VaSet
7027vasetType 3
7028)
7029xt "109750,81000,122000,81000"
7030pts [
7031"109750,81000"
7032"122000,81000"
7033]
7034)
7035start &170
7036sat 32
7037eat 16
7038st 0
7039sf 1
7040si 0
7041tg (WTG
7042uid 2711,0
7043ps "ConnStartEndStrategy"
7044stg "STSignalDisplayStrategy"
7045f (Text
7046uid 2712,0
7047va (VaSet
7048)
7049xt "111000,80000,121400,81000"
7050st "debug_data_ram_empty"
7051blo "111000,80800"
7052tm "WireNameMgr"
7053)
7054)
7055on &110
7056)
7057*227 (Wire
7058uid 2715,0
7059shape (OrthoPolyLine
7060uid 2716,0
7061va (VaSet
7062vasetType 3
7063)
7064xt "109750,82000,120000,82000"
7065pts [
7066"109750,82000"
7067"120000,82000"
7068]
7069)
7070start &171
7071sat 32
7072eat 16
7073st 0
7074sf 1
7075si 0
7076tg (WTG
7077uid 2719,0
7078ps "ConnStartEndStrategy"
7079stg "STSignalDisplayStrategy"
7080f (Text
7081uid 2720,0
7082va (VaSet
7083)
7084xt "111000,81000,118500,82000"
7085st "debug_data_valid"
7086blo "111000,81800"
7087tm "WireNameMgr"
7088)
7089)
7090on &111
7091)
7092*228 (Wire
7093uid 2723,0
7094shape (OrthoPolyLine
7095uid 2724,0
7096va (VaSet
7097vasetType 3
7098lineWidth 2
7099)
7100xt "109750,83000,119000,83000"
7101pts [
7102"109750,83000"
7103"119000,83000"
7104]
7105)
7106start &173
7107sat 32
7108eat 16
7109sty 1
7110st 0
7111sf 1
7112si 0
7113tg (WTG
7114uid 2727,0
7115ps "ConnStartEndStrategy"
7116stg "STSignalDisplayStrategy"
7117f (Text
7118uid 2728,0
7119va (VaSet
7120)
7121xt "111000,82000,117900,83000"
7122st "DG_state : (7:0)"
7123blo "111000,82800"
7124tm "WireNameMgr"
7125)
7126)
7127on &112
7128)
7129*229 (Wire
7130uid 2731,0
7131shape (OrthoPolyLine
7132uid 2732,0
7133va (VaSet
7134vasetType 3
7135)
7136xt "109750,84000,120000,84000"
7137pts [
7138"109750,84000"
7139"120000,84000"
7140]
7141)
7142start &167
7143sat 32
7144eat 16
7145st 0
7146sf 1
7147si 0
7148tg (WTG
7149uid 2735,0
7150ps "ConnStartEndStrategy"
7151stg "STSignalDisplayStrategy"
7152f (Text
7153uid 2736,0
7154va (VaSet
7155)
7156xt "111000,83000,119400,84000"
7157st "FTM_RS485_rx_en"
7158blo "111000,83800"
7159tm "WireNameMgr"
7160)
7161)
7162on &113
7163)
7164*230 (Wire
7165uid 2739,0
7166shape (OrthoPolyLine
7167uid 2740,0
7168va (VaSet
7169vasetType 3
7170)
7171xt "109750,85000,120000,85000"
7172pts [
7173"109750,85000"
7174"120000,85000"
7175]
7176)
7177start &166
7178sat 32
7179eat 16
7180st 0
7181sf 1
7182si 0
7183tg (WTG
7184uid 2743,0
7185ps "ConnStartEndStrategy"
7186stg "STSignalDisplayStrategy"
7187f (Text
7188uid 2744,0
7189va (VaSet
7190)
7191xt "111000,84000,119100,85000"
7192st "FTM_RS485_tx_d"
7193blo "111000,84800"
7194tm "WireNameMgr"
7195)
7196)
7197on &114
7198)
7199*231 (Wire
7200uid 2747,0
7201shape (OrthoPolyLine
7202uid 2748,0
7203va (VaSet
7204vasetType 3
7205)
7206xt "109750,86000,120000,86000"
7207pts [
7208"109750,86000"
7209"120000,86000"
7210]
7211)
7212start &168
7213sat 32
7214eat 16
7215st 0
7216sf 1
7217si 0
7218tg (WTG
7219uid 2751,0
7220ps "ConnStartEndStrategy"
7221stg "STSignalDisplayStrategy"
7222f (Text
7223uid 2752,0
7224va (VaSet
7225)
7226xt "111000,85000,119400,86000"
7227st "FTM_RS485_tx_en"
7228blo "111000,85800"
7229tm "WireNameMgr"
7230)
7231)
7232on &115
7233)
7234*232 (Wire
7235uid 2755,0
7236shape (OrthoPolyLine
7237uid 2756,0
7238va (VaSet
7239vasetType 3
7240lineWidth 2
7241)
7242xt "109750,87000,123000,87000"
7243pts [
7244"109750,87000"
7245"123000,87000"
7246]
7247)
7248start &172
7249sat 32
7250eat 16
7251sty 1
7252st 0
7253sf 1
7254si 0
7255tg (WTG
7256uid 2759,0
7257ps "ConnStartEndStrategy"
7258stg "STSignalDisplayStrategy"
7259f (Text
7260uid 2760,0
7261va (VaSet
7262)
7263xt "111000,86000,122400,87000"
7264st "mem_manager_state : (3:0)"
7265blo "111000,86800"
7266tm "WireNameMgr"
7267)
7268)
7269on &116
7270)
7271*233 (Wire
7272uid 2763,0
7273shape (OrthoPolyLine
7274uid 2764,0
7275va (VaSet
7276vasetType 3
7277)
7278xt "109750,88000,118000,88000"
7279pts [
7280"109750,88000"
7281"118000,88000"
7282]
7283)
7284start &164
7285sat 32
7286eat 16
7287st 0
7288sf 1
7289si 0
7290tg (WTG
7291uid 2767,0
7292ps "ConnStartEndStrategy"
7293stg "STSignalDisplayStrategy"
7294f (Text
7295uid 2768,0
7296va (VaSet
7297)
7298xt "111000,87000,116600,88000"
7299st "trigger_veto"
7300blo "111000,87800"
7301tm "WireNameMgr"
7302)
7303)
7304on &117
7305)
7306*234 (Wire
7307uid 2771,0
7308shape (OrthoPolyLine
7309uid 2772,0
7310va (VaSet
7311vasetType 3
7312lineWidth 2
7313)
7314xt "109750,89000,120000,89000"
7315pts [
7316"109750,89000"
7317"120000,89000"
7318]
7319)
7320start &169
7321sat 32
7322eat 16
7323sty 1
7324st 0
7325sf 1
7326si 0
7327tg (WTG
7328uid 2775,0
7329ps "ConnStartEndStrategy"
7330stg "STSignalDisplayStrategy"
7331f (Text
7332uid 2776,0
7333va (VaSet
7334)
7335xt "111000,88000,119400,89000"
7336st "w5300_state : (7:0)"
7337blo "111000,88800"
7338tm "WireNameMgr"
7339)
7340)
7341on &118
7342)
7343*235 (Wire
7344uid 2779,0
7345shape (OrthoPolyLine
7346uid 2780,0
7347va (VaSet
7348vasetType 3
7349)
7350xt "74000,78000,80250,82000"
7351pts [
7352"74000,82000"
7353"80250,78000"
7354]
7355)
7356end &165
7357sat 16
7358eat 32
7359st 0
7360sf 1
7361si 0
7362tg (WTG
7363uid 2783,0
7364ps "ConnStartEndStrategy"
7365stg "STSignalDisplayStrategy"
7366f (Text
7367uid 2784,0
7368va (VaSet
7369)
7370xt "73000,80000,81100,81000"
7371st "FTM_RS485_rx_d"
7372blo "73000,80800"
7373tm "WireNameMgr"
7374)
7375)
7376on &119
7377)
7378*236 (Wire
7379uid 2944,0
7380shape (OrthoPolyLine
7381uid 2945,0
7382va (VaSet
7383vasetType 3
7384lineWidth 2
7385)
7386xt "109750,90000,124000,90000"
7387pts [
7388"109750,90000"
7389"124000,90000"
7390]
7391)
7392start &174
7393sat 32
7394eat 16
7395sty 1
7396st 0
7397sf 1
7398si 0
7399tg (WTG
7400uid 2948,0
7401ps "ConnStartEndStrategy"
7402stg "STSignalDisplayStrategy"
7403f (Text
7404uid 2949,0
7405va (VaSet
7406)
7407xt "111000,89000,122900,90000"
7408st "socket_tx_free_out : (16:0)"
7409blo "111000,89800"
7410tm "WireNameMgr"
7411)
7412)
7413on &120
7414)
7415]
7416bg "65535,65535,65535"
7417grid (Grid
7418origin "0,0"
7419isVisible 1
7420isActive 1
7421xSpacing 1000
7422xySpacing 1000
7423xShown 1
7424yShown 1
7425color "26368,26368,26368"
7426)
7427packageList *237 (PackageList
7428uid 41,0
7429stg "VerticalLayoutStrategy"
7430textVec [
7431*238 (Text
7432uid 42,0
7433va (VaSet
7434font "arial,8,1"
7435)
7436xt "-87000,0,-81600,1000"
7437st "Package List"
7438blo "-87000,800"
7439)
7440*239 (MLText
7441uid 43,0
7442va (VaSet
7443)
7444xt "-87000,1000,-72500,11000"
7445st "LIBRARY ieee;
7446USE ieee.std_logic_1164.all;
7447USE ieee.std_logic_arith.all;
7448USE ieee.std_logic_unsigned.all;
7449
7450LIBRARY FACT_FAD_lib;
7451USE FACT_FAD_lib.fad_definitions.all;
7452USE ieee.std_logic_textio.all;
7453LIBRARY std;
7454USE std.textio.all;"
7455tm "PackageList"
7456)
7457]
7458)
7459compDirBlock (MlTextGroup
7460uid 44,0
7461stg "VerticalLayoutStrategy"
7462textVec [
7463*240 (Text
7464uid 45,0
7465va (VaSet
7466isHidden 1
7467font "Arial,8,1"
7468)
7469xt "20000,0,28100,1000"
7470st "Compiler Directives"
7471blo "20000,800"
7472)
7473*241 (Text
7474uid 46,0
7475va (VaSet
7476isHidden 1
7477font "Arial,8,1"
7478)
7479xt "20000,1000,29600,2000"
7480st "Pre-module directives:"
7481blo "20000,1800"
7482)
7483*242 (MLText
7484uid 47,0
7485va (VaSet
7486isHidden 1
7487)
7488xt "20000,2000,27500,4000"
7489st "`resetall
7490`timescale 1ns/10ps"
7491tm "BdCompilerDirectivesTextMgr"
7492)
7493*243 (Text
7494uid 48,0
7495va (VaSet
7496isHidden 1
7497font "Arial,8,1"
7498)
7499xt "20000,4000,30100,5000"
7500st "Post-module directives:"
7501blo "20000,4800"
7502)
7503*244 (MLText
7504uid 49,0
7505va (VaSet
7506isHidden 1
7507)
7508xt "20000,0,20000,0"
7509tm "BdCompilerDirectivesTextMgr"
7510)
7511*245 (Text
7512uid 50,0
7513va (VaSet
7514isHidden 1
7515font "Arial,8,1"
7516)
7517xt "20000,5000,29900,6000"
7518st "End-module directives:"
7519blo "20000,5800"
7520)
7521*246 (MLText
7522uid 51,0
7523va (VaSet
7524isHidden 1
7525)
7526xt "20000,6000,20000,6000"
7527tm "BdCompilerDirectivesTextMgr"
7528)
7529]
7530associable 1
7531)
7532windowSize "0,0,1281,1024"
7533viewArea "53400,13900,168726,105965"
7534cachedDiagramExtent "-92000,0,146000,98000"
7535pageSetupInfo (PageSetupInfo
7536ptrCmd ""
7537toPrinter 1
7538exportedDirectories [
7539"$HDS_PROJECT_DIR/HTMLExport"
7540]
7541exportStdIncludeRefs 1
7542exportStdPackageRefs 1
7543)
7544hasePageBreakOrigin 1
7545pageBreakOrigin "-146000,0"
7546lastUid 3415,0
7547defaultCommentText (CommentText
7548shape (Rectangle
7549layer 0
7550va (VaSet
7551vasetType 1
7552fg "65280,65280,46080"
7553lineColor "0,0,32768"
7554)
7555xt "0,0,15000,5000"
7556)
7557text (MLText
7558va (VaSet
7559fg "0,0,32768"
7560)
7561xt "200,200,2000,1200"
7562st "
7563Text
7564"
7565tm "CommentText"
7566wrapOption 3
7567visibleHeight 4600
7568visibleWidth 14600
7569)
7570)
7571defaultPanel (Panel
7572shape (RectFrame
7573va (VaSet
7574vasetType 1
7575fg "65535,65535,65535"
7576lineColor "32768,0,0"
7577lineWidth 2
7578)
7579xt "0,0,20000,20000"
7580)
7581title (TextAssociate
7582ps "TopLeftStrategy"
7583text (Text
7584va (VaSet
7585font "Arial,8,1"
7586)
7587xt "1000,1000,3800,2000"
7588st "Panel0"
7589blo "1000,1800"
7590tm "PanelText"
7591)
7592)
7593)
7594defaultBlk (Blk
7595shape (Rectangle
7596va (VaSet
7597vasetType 1
7598fg "39936,56832,65280"
7599lineColor "0,0,32768"
7600lineWidth 2
7601)
7602xt "0,0,8000,10000"
7603)
7604ttg (MlTextGroup
7605ps "CenterOffsetStrategy"
7606stg "VerticalLayoutStrategy"
7607textVec [
7608*247 (Text
7609va (VaSet
7610font "Arial,8,1"
7611)
7612xt "2200,3500,5800,4500"
7613st "<library>"
7614blo "2200,4300"
7615tm "BdLibraryNameMgr"
7616)
7617*248 (Text
7618va (VaSet
7619font "Arial,8,1"
7620)
7621xt "2200,4500,5600,5500"
7622st "<block>"
7623blo "2200,5300"
7624tm "BlkNameMgr"
7625)
7626*249 (Text
7627va (VaSet
7628font "Arial,8,1"
7629)
7630xt "2200,5500,3200,6500"
7631st "I0"
7632blo "2200,6300"
7633tm "InstanceNameMgr"
7634)
7635]
7636)
7637ga (GenericAssociation
7638ps "EdgeToEdgeStrategy"
7639matrix (Matrix
7640text (MLText
7641va (VaSet
7642font "Courier New,8,0"
7643)
7644xt "2200,13500,2200,13500"
7645)
7646header ""
7647)
7648elements [
7649]
7650)
7651viewicon (ZoomableIcon
7652sl 0
7653va (VaSet
7654vasetType 1
7655fg "49152,49152,49152"
7656)
7657xt "0,0,1500,1500"
7658iconName "UnknownFile.png"
7659iconMaskName "UnknownFile.msk"
7660)
7661viewiconposition 0
7662)
7663defaultMWComponent (MWC
7664shape (Rectangle
7665va (VaSet
7666vasetType 1
7667fg "0,65535,0"
7668lineColor "0,32896,0"
7669lineWidth 2
7670)
7671xt "0,0,8000,10000"
7672)
7673ttg (MlTextGroup
7674ps "CenterOffsetStrategy"
7675stg "VerticalLayoutStrategy"
7676textVec [
7677*250 (Text
7678va (VaSet
7679font "Arial,8,1"
7680)
7681xt "550,3500,3450,4500"
7682st "Library"
7683blo "550,4300"
7684)
7685*251 (Text
7686va (VaSet
7687font "Arial,8,1"
7688)
7689xt "550,4500,7450,5500"
7690st "MWComponent"
7691blo "550,5300"
7692)
7693*252 (Text
7694va (VaSet
7695font "Arial,8,1"
7696)
7697xt "550,5500,1550,6500"
7698st "I0"
7699blo "550,6300"
7700tm "InstanceNameMgr"
7701)
7702]
7703)
7704ga (GenericAssociation
7705ps "EdgeToEdgeStrategy"
7706matrix (Matrix
7707text (MLText
7708va (VaSet
7709font "Courier New,8,0"
7710)
7711xt "-6450,1500,-6450,1500"
7712)
7713header ""
7714)
7715elements [
7716]
7717)
7718portVis (PortSigDisplay
7719)
7720prms (Property
7721pclass "params"
7722pname "params"
7723ptn "String"
7724)
7725visOptions (mwParamsVisibilityOptions
7726)
7727)
7728defaultSaComponent (SaComponent
7729shape (Rectangle
7730va (VaSet
7731vasetType 1
7732fg "0,65535,0"
7733lineColor "0,32896,0"
7734lineWidth 2
7735)
7736xt "0,0,8000,10000"
7737)
7738ttg (MlTextGroup
7739ps "CenterOffsetStrategy"
7740stg "VerticalLayoutStrategy"
7741textVec [
7742*253 (Text
7743va (VaSet
7744font "Arial,8,1"
7745)
7746xt "900,3500,3800,4500"
7747st "Library"
7748blo "900,4300"
7749tm "BdLibraryNameMgr"
7750)
7751*254 (Text
7752va (VaSet
7753font "Arial,8,1"
7754)
7755xt "900,4500,7100,5500"
7756st "SaComponent"
7757blo "900,5300"
7758tm "CptNameMgr"
7759)
7760*255 (Text
7761va (VaSet
7762font "Arial,8,1"
7763)
7764xt "900,5500,1900,6500"
7765st "I0"
7766blo "900,6300"
7767tm "InstanceNameMgr"
7768)
7769]
7770)
7771ga (GenericAssociation
7772ps "EdgeToEdgeStrategy"
7773matrix (Matrix
7774text (MLText
7775va (VaSet
7776font "Courier New,8,0"
7777)
7778xt "-6100,1500,-6100,1500"
7779)
7780header ""
7781)
7782elements [
7783]
7784)
7785viewicon (ZoomableIcon
7786sl 0
7787va (VaSet
7788vasetType 1
7789fg "49152,49152,49152"
7790)
7791xt "0,0,1500,1500"
7792iconName "UnknownFile.png"
7793iconMaskName "UnknownFile.msk"
7794)
7795viewiconposition 0
7796portVis (PortSigDisplay
7797)
7798archFileType "UNKNOWN"
7799)
7800defaultVhdlComponent (VhdlComponent
7801shape (Rectangle
7802va (VaSet
7803vasetType 1
7804fg "0,65535,0"
7805lineColor "0,32896,0"
7806lineWidth 2
7807)
7808xt "0,0,8000,10000"
7809)
7810ttg (MlTextGroup
7811ps "CenterOffsetStrategy"
7812stg "VerticalLayoutStrategy"
7813textVec [
7814*256 (Text
7815va (VaSet
7816font "Arial,8,1"
7817)
7818xt "500,3500,3400,4500"
7819st "Library"
7820blo "500,4300"
7821)
7822*257 (Text
7823va (VaSet
7824font "Arial,8,1"
7825)
7826xt "500,4500,7500,5500"
7827st "VhdlComponent"
7828blo "500,5300"
7829)
7830*258 (Text
7831va (VaSet
7832font "Arial,8,1"
7833)
7834xt "500,5500,1500,6500"
7835st "I0"
7836blo "500,6300"
7837tm "InstanceNameMgr"
7838)
7839]
7840)
7841ga (GenericAssociation
7842ps "EdgeToEdgeStrategy"
7843matrix (Matrix
7844text (MLText
7845va (VaSet
7846font "Courier New,8,0"
7847)
7848xt "-6500,1500,-6500,1500"
7849)
7850header ""
7851)
7852elements [
7853]
7854)
7855portVis (PortSigDisplay
7856)
7857entityPath ""
7858archName ""
7859archPath ""
7860)
7861defaultVerilogComponent (VerilogComponent
7862shape (Rectangle
7863va (VaSet
7864vasetType 1
7865fg "0,65535,0"
7866lineColor "0,32896,0"
7867lineWidth 2
7868)
7869xt "-450,0,8450,10000"
7870)
7871ttg (MlTextGroup
7872ps "CenterOffsetStrategy"
7873stg "VerticalLayoutStrategy"
7874textVec [
7875*259 (Text
7876va (VaSet
7877font "Arial,8,1"
7878)
7879xt "50,3500,2950,4500"
7880st "Library"
7881blo "50,4300"
7882)
7883*260 (Text
7884va (VaSet
7885font "Arial,8,1"
7886)
7887xt "50,4500,7950,5500"
7888st "VerilogComponent"
7889blo "50,5300"
7890)
7891*261 (Text
7892va (VaSet
7893font "Arial,8,1"
7894)
7895xt "50,5500,1050,6500"
7896st "I0"
7897blo "50,6300"
7898tm "InstanceNameMgr"
7899)
7900]
7901)
7902ga (GenericAssociation
7903ps "EdgeToEdgeStrategy"
7904matrix (Matrix
7905text (MLText
7906va (VaSet
7907font "Courier New,8,0"
7908)
7909xt "-6950,1500,-6950,1500"
7910)
7911header ""
7912)
7913elements [
7914]
7915)
7916entityPath ""
7917)
7918defaultHdlText (HdlText
7919shape (Rectangle
7920va (VaSet
7921vasetType 1
7922fg "65535,65535,37120"
7923lineColor "0,0,32768"
7924lineWidth 2
7925)
7926xt "0,0,8000,10000"
7927)
7928ttg (MlTextGroup
7929ps "CenterOffsetStrategy"
7930stg "VerticalLayoutStrategy"
7931textVec [
7932*262 (Text
7933va (VaSet
7934font "Arial,8,1"
7935)
7936xt "3150,4000,4850,5000"
7937st "eb1"
7938blo "3150,4800"
7939tm "HdlTextNameMgr"
7940)
7941*263 (Text
7942va (VaSet
7943font "Arial,8,1"
7944)
7945xt "3150,5000,3950,6000"
7946st "1"
7947blo "3150,5800"
7948tm "HdlTextNumberMgr"
7949)
7950]
7951)
7952viewicon (ZoomableIcon
7953sl 0
7954va (VaSet
7955vasetType 1
7956fg "49152,49152,49152"
7957)
7958xt "0,0,1500,1500"
7959iconName "UnknownFile.png"
7960iconMaskName "UnknownFile.msk"
7961)
7962viewiconposition 0
7963)
7964defaultEmbeddedText (EmbeddedText
7965commentText (CommentText
7966ps "CenterOffsetStrategy"
7967shape (Rectangle
7968va (VaSet
7969vasetType 1
7970fg "65535,65535,65535"
7971lineColor "0,0,32768"
7972lineWidth 2
7973)
7974xt "0,0,18000,5000"
7975)
7976text (MLText
7977va (VaSet
7978)
7979xt "200,200,2000,1200"
7980st "
7981Text
7982"
7983tm "HdlTextMgr"
7984wrapOption 3
7985visibleHeight 4600
7986visibleWidth 17600
7987)
7988)
7989)
7990defaultGlobalConnector (GlobalConnector
7991shape (Circle
7992va (VaSet
7993vasetType 1
7994fg "65535,65535,0"
7995)
7996xt "-1000,-1000,1000,1000"
7997radius 1000
7998)
7999name (Text
8000va (VaSet
8001font "Arial,8,1"
8002)
8003xt "-500,-500,500,500"
8004st "G"
8005blo "-500,300"
8006)
8007)
8008defaultRipper (Ripper
8009ps "OnConnectorStrategy"
8010shape (Line2D
8011pts [
8012"0,0"
8013"1000,1000"
8014]
8015va (VaSet
8016vasetType 1
8017)
8018xt "0,0,1000,1000"
8019)
8020)
8021defaultBdJunction (BdJunction
8022ps "OnConnectorStrategy"
8023shape (Circle
8024va (VaSet
8025vasetType 1
8026)
8027xt "-400,-400,400,400"
8028radius 400
8029)
8030)
8031defaultPortIoIn (PortIoIn
8032shape (CompositeShape
8033va (VaSet
8034vasetType 1
8035fg "0,0,32768"
8036)
8037optionalChildren [
8038(Pentagon
8039sl 0
8040ro 270
8041xt "-2000,-375,-500,375"
8042)
8043(Line
8044sl 0
8045ro 270
8046xt "-500,0,0,0"
8047pts [
8048"-500,0"
8049"0,0"
8050]
8051)
8052]
8053)
8054stc 0
8055sf 1
8056tg (WTG
8057ps "PortIoTextPlaceStrategy"
8058stg "STSignalDisplayStrategy"
8059f (Text
8060va (VaSet
8061)
8062xt "-1375,-1000,-1375,-1000"
8063ju 2
8064blo "-1375,-1000"
8065tm "WireNameMgr"
8066)
8067)
8068)
8069defaultPortIoOut (PortIoOut
8070shape (CompositeShape
8071va (VaSet
8072vasetType 1
8073fg "0,0,32768"
8074)
8075optionalChildren [
8076(Pentagon
8077sl 0
8078ro 270
8079xt "500,-375,2000,375"
8080)
8081(Line
8082sl 0
8083ro 270
8084xt "0,0,500,0"
8085pts [
8086"0,0"
8087"500,0"
8088]
8089)
8090]
8091)
8092stc 0
8093sf 1
8094tg (WTG
8095ps "PortIoTextPlaceStrategy"
8096stg "STSignalDisplayStrategy"
8097f (Text
8098va (VaSet
8099)
8100xt "625,-1000,625,-1000"
8101blo "625,-1000"
8102tm "WireNameMgr"
8103)
8104)
8105)
8106defaultPortIoInOut (PortIoInOut
8107shape (CompositeShape
8108va (VaSet
8109vasetType 1
8110fg "0,0,32768"
8111)
8112optionalChildren [
8113(Hexagon
8114sl 0
8115xt "500,-375,2000,375"
8116)
8117(Line
8118sl 0
8119xt "0,0,500,0"
8120pts [
8121"0,0"
8122"500,0"
8123]
8124)
8125]
8126)
8127stc 0
8128sf 1
8129tg (WTG
8130ps "PortIoTextPlaceStrategy"
8131stg "STSignalDisplayStrategy"
8132f (Text
8133va (VaSet
8134)
8135xt "0,-375,0,-375"
8136blo "0,-375"
8137tm "WireNameMgr"
8138)
8139)
8140)
8141defaultPortIoBuffer (PortIoBuffer
8142shape (CompositeShape
8143va (VaSet
8144vasetType 1
8145fg "65535,65535,65535"
8146lineColor "0,0,32768"
8147)
8148optionalChildren [
8149(Hexagon
8150sl 0
8151xt "500,-375,2000,375"
8152)
8153(Line
8154sl 0
8155xt "0,0,500,0"
8156pts [
8157"0,0"
8158"500,0"
8159]
8160)
8161]
8162)
8163stc 0
8164sf 1
8165tg (WTG
8166ps "PortIoTextPlaceStrategy"
8167stg "STSignalDisplayStrategy"
8168f (Text
8169va (VaSet
8170)
8171xt "0,-375,0,-375"
8172blo "0,-375"
8173tm "WireNameMgr"
8174)
8175)
8176)
8177defaultSignal (Wire
8178shape (OrthoPolyLine
8179va (VaSet
8180vasetType 3
8181)
8182pts [
8183"0,0"
8184"0,0"
8185]
8186)
8187ss 0
8188es 0
8189sat 32
8190eat 32
8191st 0
8192sf 1
8193si 0
8194tg (WTG
8195ps "ConnStartEndStrategy"
8196stg "STSignalDisplayStrategy"
8197f (Text
8198va (VaSet
8199)
8200xt "0,0,1900,1000"
8201st "sig0"
8202blo "0,800"
8203tm "WireNameMgr"
8204)
8205)
8206)
8207defaultBus (Wire
8208shape (OrthoPolyLine
8209va (VaSet
8210vasetType 3
8211lineWidth 2
8212)
8213pts [
8214"0,0"
8215"0,0"
8216]
8217)
8218ss 0
8219es 0
8220sat 32
8221eat 32
8222sty 1
8223st 0
8224sf 1
8225si 0
8226tg (WTG
8227ps "ConnStartEndStrategy"
8228stg "STSignalDisplayStrategy"
8229f (Text
8230va (VaSet
8231)
8232xt "0,0,2400,1000"
8233st "dbus0"
8234blo "0,800"
8235tm "WireNameMgr"
8236)
8237)
8238)
8239defaultBundle (Bundle
8240shape (OrthoPolyLine
8241va (VaSet
8242vasetType 3
8243lineColor "32768,0,0"
8244lineWidth 2
8245)
8246pts [
8247"0,0"
8248"0,0"
8249]
8250)
8251ss 0
8252es 0
8253sat 32
8254eat 32
8255textGroup (BiTextGroup
8256ps "ConnStartEndStrategy"
8257stg "VerticalLayoutStrategy"
8258first (Text
8259va (VaSet
8260)
8261xt "0,0,3000,1000"
8262st "bundle0"
8263blo "0,800"
8264tm "BundleNameMgr"
8265)
8266second (MLText
8267va (VaSet
8268)
8269xt "0,1000,1000,2000"
8270st "()"
8271tm "BundleContentsMgr"
8272)
8273)
8274bundleNet &0
8275)
8276defaultPortMapFrame (PortMapFrame
8277ps "PortMapFrameStrategy"
8278shape (RectFrame
8279va (VaSet
8280vasetType 1
8281fg "65535,65535,65535"
8282lineColor "0,0,32768"
8283lineWidth 2
8284)
8285xt "0,0,10000,12000"
8286)
8287portMapText (BiTextGroup
8288ps "BottomRightOffsetStrategy"
8289stg "VerticalLayoutStrategy"
8290first (MLText
8291va (VaSet
8292)
8293)
8294second (MLText
8295va (VaSet
8296)
8297tm "PortMapTextMgr"
8298)
8299)
8300)
8301defaultGenFrame (Frame
8302shape (RectFrame
8303va (VaSet
8304vasetType 1
8305fg "65535,65535,65535"
8306lineColor "26368,26368,26368"
8307lineStyle 2
8308lineWidth 2
8309)
8310xt "0,0,20000,20000"
8311)
8312title (TextAssociate
8313ps "TopLeftStrategy"
8314text (MLText
8315va (VaSet
8316)
8317xt "0,-1100,12600,-100"
8318st "g0: FOR i IN 0 TO n GENERATE"
8319tm "FrameTitleTextMgr"
8320)
8321)
8322seqNum (FrameSequenceNumber
8323ps "TopLeftStrategy"
8324shape (Rectangle
8325va (VaSet
8326vasetType 1
8327fg "65535,65535,65535"
8328)
8329xt "50,50,1250,1450"
8330)
8331num (Text
8332va (VaSet
8333)
8334xt "250,250,1050,1250"
8335st "1"
8336blo "250,1050"
8337tm "FrameSeqNumMgr"
8338)
8339)
8340decls (MlTextGroup
8341ps "BottomRightOffsetStrategy"
8342stg "VerticalLayoutStrategy"
8343textVec [
8344*264 (Text
8345va (VaSet
8346font "Arial,8,1"
8347)
8348xt "14100,20000,22000,21000"
8349st "Frame Declarations"
8350blo "14100,20800"
8351)
8352*265 (MLText
8353va (VaSet
8354)
8355xt "14100,21000,14100,21000"
8356tm "BdFrameDeclTextMgr"
8357)
8358]
8359)
8360)
8361defaultBlockFrame (Frame
8362shape (RectFrame
8363va (VaSet
8364vasetType 1
8365fg "65535,65535,65535"
8366lineColor "26368,26368,26368"
8367lineStyle 1
8368lineWidth 2
8369)
8370xt "0,0,20000,20000"
8371)
8372title (TextAssociate
8373ps "TopLeftStrategy"
8374text (MLText
8375va (VaSet
8376)
8377xt "0,-1100,7400,-100"
8378st "b0: BLOCK (guard)"
8379tm "FrameTitleTextMgr"
8380)
8381)
8382seqNum (FrameSequenceNumber
8383ps "TopLeftStrategy"
8384shape (Rectangle
8385va (VaSet
8386vasetType 1
8387fg "65535,65535,65535"
8388)
8389xt "50,50,1250,1450"
8390)
8391num (Text
8392va (VaSet
8393)
8394xt "250,250,1050,1250"
8395st "1"
8396blo "250,1050"
8397tm "FrameSeqNumMgr"
8398)
8399)
8400decls (MlTextGroup
8401ps "BottomRightOffsetStrategy"
8402stg "VerticalLayoutStrategy"
8403textVec [
8404*266 (Text
8405va (VaSet
8406font "Arial,8,1"
8407)
8408xt "14100,20000,22000,21000"
8409st "Frame Declarations"
8410blo "14100,20800"
8411)
8412*267 (MLText
8413va (VaSet
8414)
8415xt "14100,21000,14100,21000"
8416tm "BdFrameDeclTextMgr"
8417)
8418]
8419)
8420style 3
8421)
8422defaultSaCptPort (CptPort
8423ps "OnEdgeStrategy"
8424shape (Triangle
8425ro 90
8426va (VaSet
8427vasetType 1
8428fg "0,65535,0"
8429)
8430xt "0,0,750,750"
8431)
8432tg (CPTG
8433ps "CptPortTextPlaceStrategy"
8434stg "VerticalLayoutStrategy"
8435f (Text
8436va (VaSet
8437)
8438xt "0,750,1800,1750"
8439st "Port"
8440blo "0,1550"
8441)
8442)
8443thePort (LogicalPort
8444decl (Decl
8445n "Port"
8446t ""
8447o 0
8448)
8449)
8450)
8451defaultSaCptPortBuffer (CptPort
8452ps "OnEdgeStrategy"
8453shape (Diamond
8454va (VaSet
8455vasetType 1
8456fg "65535,65535,65535"
8457)
8458xt "0,0,750,750"
8459)
8460tg (CPTG
8461ps "CptPortTextPlaceStrategy"
8462stg "VerticalLayoutStrategy"
8463f (Text
8464va (VaSet
8465)
8466xt "0,750,1800,1750"
8467st "Port"
8468blo "0,1550"
8469)
8470)
8471thePort (LogicalPort
8472m 3
8473decl (Decl
8474n "Port"
8475t ""
8476o 0
8477)
8478)
8479)
8480defaultDeclText (MLText
8481va (VaSet
8482font "Courier New,8,0"
8483)
8484)
8485archDeclarativeBlock (BdArchDeclBlock
8486uid 1,0
8487stg "BdArchDeclBlockLS"
8488declLabel (Text
8489uid 2,0
8490va (VaSet
8491font "Arial,8,1"
8492)
8493xt "-92000,21600,-86600,22600"
8494st "Declarations"
8495blo "-92000,22400"
8496)
8497portLabel (Text
8498uid 3,0
8499va (VaSet
8500font "Arial,8,1"
8501)
8502xt "-92000,22600,-89300,23600"
8503st "Ports:"
8504blo "-92000,23400"
8505)
8506preUserLabel (Text
8507uid 4,0
8508va (VaSet
8509isHidden 1
8510font "Arial,8,1"
8511)
8512xt "-92000,21600,-88200,22600"
8513st "Pre User:"
8514blo "-92000,22400"
8515)
8516preUserText (MLText
8517uid 5,0
8518va (VaSet
8519isHidden 1
8520font "Courier New,8,0"
8521)
8522xt "-92000,21600,-92000,21600"
8523tm "BdDeclarativeTextMgr"
8524)
8525diagSignalLabel (Text
8526uid 6,0
8527va (VaSet
8528font "Arial,8,1"
8529)
8530xt "-92000,23600,-84900,24600"
8531st "Diagram Signals:"
8532blo "-92000,24400"
8533)
8534postUserLabel (Text
8535uid 7,0
8536va (VaSet
8537isHidden 1
8538font "Arial,8,1"
8539)
8540xt "-92000,21600,-87300,22600"
8541st "Post User:"
8542blo "-92000,22400"
8543)
8544postUserText (MLText
8545uid 8,0
8546va (VaSet
8547isHidden 1
8548font "Courier New,8,0"
8549)
8550xt "-92000,21600,-92000,21600"
8551tm "BdDeclarativeTextMgr"
8552)
8553)
8554commonDM (CommonDM
8555ldm (LogicalDM
8556suid 64,0
8557usingSuid 1
8558emptyRow *268 (LEmptyRow
8559)
8560uid 54,0
8561optionalChildren [
8562*269 (RefLabelRowHdr
8563)
8564*270 (TitleRowHdr
8565)
8566*271 (FilterRowHdr
8567)
8568*272 (RefLabelColHdr
8569tm "RefLabelColHdrMgr"
8570)
8571*273 (RowExpandColHdr
8572tm "RowExpandColHdrMgr"
8573)
8574*274 (GroupColHdr
8575tm "GroupColHdrMgr"
8576)
8577*275 (NameColHdr
8578tm "BlockDiagramNameColHdrMgr"
8579)
8580*276 (ModeColHdr
8581tm "BlockDiagramModeColHdrMgr"
8582)
8583*277 (TypeColHdr
8584tm "BlockDiagramTypeColHdrMgr"
8585)
8586*278 (BoundsColHdr
8587tm "BlockDiagramBoundsColHdrMgr"
8588)
8589*279 (InitColHdr
8590tm "BlockDiagramInitColHdrMgr"
8591)
8592*280 (EolColHdr
8593tm "BlockDiagramEolColHdrMgr"
8594)
8595*281 (LeafLogPort
8596port (LogicalPort
8597m 4
8598decl (Decl
8599n "clk"
8600t "STD_LOGIC"
8601preAdd 0
8602posAdd 0
8603o 1
8604suid 1,0
8605)
8606)
8607uid 340,0
8608)
8609*282 (LeafLogPort
8610port (LogicalPort
8611m 4
8612decl (Decl
8613n "wiz_addr"
8614t "std_logic_vector"
8615b "(9 DOWNTO 0)"
8616o 2
8617suid 2,0
8618)
8619)
8620uid 342,0
8621)
8622*283 (LeafLogPort
8623port (LogicalPort
8624m 4
8625decl (Decl
8626n "wiz_data"
8627t "std_logic_vector"
8628b "(15 DOWNTO 0)"
8629o 3
8630suid 3,0
8631)
8632)
8633uid 344,0
8634)
8635*284 (LeafLogPort
8636port (LogicalPort
8637m 4
8638decl (Decl
8639n "wiz_rd"
8640t "std_logic"
8641o 4
8642suid 4,0
8643i "'1'"
8644)
8645)
8646uid 346,0
8647)
8648*285 (LeafLogPort
8649port (LogicalPort
8650m 4
8651decl (Decl
8652n "wiz_wr"
8653t "std_logic"
8654o 5
8655suid 5,0
8656i "'1'"
8657)
8658)
8659uid 348,0
8660)
8661*286 (LeafLogPort
8662port (LogicalPort
8663m 4
8664decl (Decl
8665n "sensor_cs"
8666t "std_logic_vector"
8667b "(3 DOWNTO 0)"
8668o 6
8669suid 6,0
8670)
8671)
8672uid 404,0
8673)
8674*287 (LeafLogPort
8675port (LogicalPort
8676m 4
8677decl (Decl
8678n "sclk"
8679t "std_logic"
8680o 7
8681suid 7,0
8682)
8683)
8684uid 406,0
8685)
8686*288 (LeafLogPort
8687port (LogicalPort
8688m 4
8689decl (Decl
8690n "sio"
8691t "std_logic"
8692preAdd 0
8693posAdd 0
8694o 8
8695suid 8,0
8696)
8697)
8698uid 408,0
8699)
8700*289 (LeafLogPort
8701port (LogicalPort
8702m 4
8703decl (Decl
8704n "trigger"
8705t "std_logic"
8706preAdd 0
8707posAdd 0
8708o 9
8709suid 9,0
8710)
8711)
8712uid 456,0
8713)
8714*290 (LeafLogPort
8715port (LogicalPort
8716m 4
8717decl (Decl
8718n "board_id"
8719t "std_logic_vector"
8720b "(3 downto 0)"
8721preAdd 0
8722posAdd 0
8723o 10
8724suid 10,0
8725)
8726)
8727uid 458,0
8728)
8729*291 (LeafLogPort
8730port (LogicalPort
8731m 4
8732decl (Decl
8733n "crate_id"
8734t "std_logic_vector"
8735b "(1 downto 0)"
8736o 11
8737suid 11,0
8738)
8739)
8740uid 460,0
8741)
8742*292 (LeafLogPort
8743port (LogicalPort
8744m 4
8745decl (Decl
8746n "adc_otr_array"
8747t "std_logic_vector"
8748b "(3 DOWNTO 0)"
8749o 12
8750suid 12,0
8751)
8752)
8753uid 584,0
8754)
8755*293 (LeafLogPort
8756port (LogicalPort
8757m 4
8758decl (Decl
8759n "adc_data_array"
8760t "adc_data_array_type"
8761o 13
8762suid 13,0
8763)
8764)
8765uid 586,0
8766)
8767*294 (LeafLogPort
8768port (LogicalPort
8769m 4
8770decl (Decl
8771n "adc_oeb"
8772t "std_logic"
8773preAdd 0
8774posAdd 0
8775o 14
8776suid 14,0
8777)
8778)
8779uid 588,0
8780)
8781*295 (LeafLogPort
8782port (LogicalPort
8783m 4
8784decl (Decl
8785n "adc_otr"
8786t "STD_LOGIC"
8787preAdd 0
8788posAdd 0
8789o 16
8790suid 16,0
8791)
8792)
8793uid 590,0
8794)
8795*296 (LeafLogPort
8796port (LogicalPort
8797m 4
8798decl (Decl
8799n "adc_data"
8800t "std_logic_vector"
8801b "(11 DOWNTO 0)"
8802preAdd 0
8803posAdd 0
8804o 17
8805suid 17,0
8806)
8807)
8808uid 592,0
8809)
8810*297 (LeafLogPort
8811port (LogicalPort
8812m 4
8813decl (Decl
8814n "wiz_reset"
8815t "std_logic"
8816o 21
8817suid 23,0
8818i "'1'"
8819)
8820)
8821uid 903,0
8822)
8823*298 (LeafLogPort
8824port (LogicalPort
8825m 4
8826decl (Decl
8827n "led"
8828t "std_logic_vector"
8829b "(7 DOWNTO 0)"
8830posAdd 0
8831o 22
8832suid 24,0
8833i "(OTHERS => '0')"
8834)
8835)
8836uid 905,0
8837)
8838*299 (LeafLogPort
8839port (LogicalPort
8840m 4
8841decl (Decl
8842n "wiz_cs"
8843t "std_logic"
8844o 23
8845suid 25,0
8846i "'1'"
8847)
8848)
8849uid 907,0
8850)
8851*300 (LeafLogPort
8852port (LogicalPort
8853m 4
8854decl (Decl
8855n "wiz_int"
8856t "std_logic"
8857o 24
8858suid 26,0
8859)
8860)
8861uid 909,0
8862)
8863*301 (LeafLogPort
8864port (LogicalPort
8865m 4
8866decl (Decl
8867n "dac_cs"
8868t "std_logic"
8869o 25
8870suid 27,0
8871)
8872)
8873uid 911,0
8874)
8875*302 (LeafLogPort
8876port (LogicalPort
8877m 4
8878decl (Decl
8879n "mosi"
8880t "std_logic"
8881o 26
8882suid 28,0
8883i "'0'"
8884)
8885)
8886uid 913,0
8887)
8888*303 (LeafLogPort
8889port (LogicalPort
8890m 4
8891decl (Decl
8892n "denable"
8893t "std_logic"
8894eolc "-- default domino wave off"
8895posAdd 0
8896o 27
8897suid 29,0
8898i "'0'"
8899)
8900)
8901uid 915,0
8902)
8903*304 (LeafLogPort
8904port (LogicalPort
8905m 4
8906decl (Decl
8907n "CLK_25_PS"
8908t "std_logic"
8909o 28
8910suid 30,0
8911)
8912)
8913uid 917,0
8914)
8915*305 (LeafLogPort
8916port (LogicalPort
8917m 4
8918decl (Decl
8919n "CLK_50"
8920t "std_logic"
8921o 29
8922suid 31,0
8923)
8924)
8925uid 919,0
8926)
8927*306 (LeafLogPort
8928port (LogicalPort
8929m 4
8930decl (Decl
8931n "drs_channel_id"
8932t "std_logic_vector"
8933b "(3 downto 0)"
8934o 30
8935suid 32,0
8936i "(others => '0')"
8937)
8938)
8939uid 921,0
8940)
8941*307 (LeafLogPort
8942port (LogicalPort
8943m 4
8944decl (Decl
8945n "drs_dwrite"
8946t "std_logic"
8947o 31
8948suid 33,0
8949i "'1'"
8950)
8951)
8952uid 923,0
8953)
8954*308 (LeafLogPort
8955port (LogicalPort
8956m 4
8957decl (Decl
8958n "RSRLOAD"
8959t "std_logic"
8960o 32
8961suid 34,0
8962i "'0'"
8963)
8964)
8965uid 925,0
8966)
8967*309 (LeafLogPort
8968port (LogicalPort
8969m 4
8970decl (Decl
8971n "SRCLK"
8972t "std_logic"
8973o 33
8974suid 35,0
8975i "'0'"
8976)
8977)
8978uid 927,0
8979)
8980*310 (LeafLogPort
8981port (LogicalPort
8982m 4
8983decl (Decl
8984n "SROUT_in_0"
8985t "std_logic"
8986o 30
8987suid 36,0
8988)
8989)
8990uid 929,0
8991)
8992*311 (LeafLogPort
8993port (LogicalPort
8994m 4
8995decl (Decl
8996n "SROUT_in_1"
8997t "std_logic"
8998o 31
8999suid 37,0
9000)
9001)
9002uid 931,0
9003)
9004*312 (LeafLogPort
9005port (LogicalPort
9006m 4
9007decl (Decl
9008n "SROUT_in_2"
9009t "std_logic"
9010o 32
9011suid 38,0
9012)
9013)
9014uid 933,0
9015)
9016*313 (LeafLogPort
9017port (LogicalPort
9018m 4
9019decl (Decl
9020n "SROUT_in_3"
9021t "std_logic"
9022o 33
9023suid 39,0
9024)
9025)
9026uid 935,0
9027)
9028*314 (LeafLogPort
9029port (LogicalPort
9030m 4
9031decl (Decl
9032n "SRIN_out"
9033t "std_logic"
9034o 34
9035suid 40,0
9036i "'0'"
9037)
9038)
9039uid 1541,0
9040)
9041*315 (LeafLogPort
9042port (LogicalPort
9043m 4
9044decl (Decl
9045n "amber"
9046t "std_logic"
9047o 35
9048suid 41,0
9049)
9050)
9051uid 1543,0
9052)
9053*316 (LeafLogPort
9054port (LogicalPort
9055m 4
9056decl (Decl
9057n "red"
9058t "std_logic"
9059o 36
9060suid 42,0
9061)
9062)
9063uid 1545,0
9064)
9065*317 (LeafLogPort
9066port (LogicalPort
9067m 4
9068decl (Decl
9069n "green"
9070t "std_logic"
9071o 37
9072suid 43,0
9073)
9074)
9075uid 1547,0
9076)
9077*318 (LeafLogPort
9078port (LogicalPort
9079m 4
9080decl (Decl
9081n "counter_result"
9082t "std_logic_vector"
9083b "(11 DOWNTO 0)"
9084o 38
9085suid 44,0
9086)
9087)
9088uid 1549,0
9089)
9090*319 (LeafLogPort
9091port (LogicalPort
9092m 4
9093decl (Decl
9094n "alarm_refclk_too_low"
9095t "std_logic"
9096posAdd 0
9097o 39
9098suid 45,0
9099)
9100)
9101uid 1551,0
9102)
9103*320 (LeafLogPort
9104port (LogicalPort
9105m 4
9106decl (Decl
9107n "alarm_refclk_too_high"
9108t "std_logic"
9109o 40
9110suid 46,0
9111)
9112)
9113uid 1553,0
9114)
9115*321 (LeafLogPort
9116port (LogicalPort
9117m 4
9118decl (Decl
9119n "D_T_in"
9120t "std_logic_vector"
9121b "(1 DOWNTO 0)"
9122o 41
9123suid 47,0
9124)
9125)
9126uid 1555,0
9127)
9128*322 (LeafLogPort
9129port (LogicalPort
9130m 4
9131decl (Decl
9132n "plllock_in"
9133t "std_logic_vector"
9134b "(3 DOWNTO 0)"
9135eolc "-- high level, if dominowave is running and DRS PLL locked"
9136o 43
9137suid 49,0
9138)
9139)
9140uid 1575,0
9141)
9142*323 (LeafLogPort
9143port (LogicalPort
9144lang 2
9145m 4
9146decl (Decl
9147n "ADC_CLK"
9148t "std_logic"
9149o 44
9150suid 50,0
9151)
9152)
9153uid 1690,0
9154)
9155*324 (LeafLogPort
9156port (LogicalPort
9157m 4
9158decl (Decl
9159n "REF_CLK"
9160t "STD_LOGIC"
9161o 42
9162suid 51,0
9163i "'0'"
9164)
9165)
9166uid 2003,0
9167)
9168*325 (LeafLogPort
9169port (LogicalPort
9170m 4
9171decl (Decl
9172n "debug_data_ram_empty"
9173t "std_logic"
9174o 45
9175suid 53,0
9176)
9177)
9178uid 2785,0
9179)
9180*326 (LeafLogPort
9181port (LogicalPort
9182m 4
9183decl (Decl
9184n "debug_data_valid"
9185t "std_logic"
9186o 46
9187suid 54,0
9188)
9189)
9190uid 2787,0
9191)
9192*327 (LeafLogPort
9193port (LogicalPort
9194m 4
9195decl (Decl
9196n "DG_state"
9197t "std_logic_vector"
9198b "(7 downto 0)"
9199prec "-- for debugging"
9200preAdd 0
9201o 47
9202suid 55,0
9203)
9204)
9205uid 2789,0
9206)
9207*328 (LeafLogPort
9208port (LogicalPort
9209m 4
9210decl (Decl
9211n "FTM_RS485_rx_en"
9212t "std_logic"
9213o 48
9214suid 56,0
9215)
9216)
9217uid 2791,0
9218)
9219*329 (LeafLogPort
9220port (LogicalPort
9221m 4
9222decl (Decl
9223n "FTM_RS485_tx_d"
9224t "std_logic"
9225o 49
9226suid 57,0
9227)
9228)
9229uid 2793,0
9230)
9231*330 (LeafLogPort
9232port (LogicalPort
9233m 4
9234decl (Decl
9235n "FTM_RS485_tx_en"
9236t "std_logic"
9237o 50
9238suid 58,0
9239)
9240)
9241uid 2795,0
9242)
9243*331 (LeafLogPort
9244port (LogicalPort
9245lang 2
9246m 4
9247decl (Decl
9248n "mem_manager_state"
9249t "std_logic_vector"
9250b "(3 DOWNTO 0)"
9251eolc "-- state is encoded here ... useful for debugging."
9252posAdd 0
9253o 51
9254suid 59,0
9255)
9256)
9257uid 2797,0
9258)
9259*332 (LeafLogPort
9260port (LogicalPort
9261m 4
9262decl (Decl
9263n "trigger_veto"
9264t "std_logic"
9265o 52
9266suid 60,0
9267i "'1'"
9268)
9269)
9270uid 2799,0
9271)
9272*333 (LeafLogPort
9273port (LogicalPort
9274m 4
9275decl (Decl
9276n "w5300_state"
9277t "std_logic_vector"
9278b "(7 DOWNTO 0)"
9279eolc "-- state is encoded here ... useful for debugging."
9280posAdd 0
9281o 53
9282suid 61,0
9283)
9284)
9285uid 2801,0
9286)
9287*334 (LeafLogPort
9288port (LogicalPort
9289m 4
9290decl (Decl
9291n "FTM_RS485_rx_d"
9292t "std_logic"
9293o 54
9294suid 62,0
9295)
9296)
9297uid 2803,0
9298)
9299*335 (LeafLogPort
9300port (LogicalPort
9301m 4
9302decl (Decl
9303n "socket_tx_free_out"
9304t "std_logic_vector"
9305b "(16 DOWNTO 0)"
9306eolc "-- 17bit value .. that's true"
9307posAdd 0
9308o 55
9309suid 64,0
9310)
9311)
9312uid 2950,0
9313)
9314]
9315)
9316pdm (PhysicalDM
9317displayShortBounds 1
9318editShortBounds 1
9319uid 67,0
9320optionalChildren [
9321*336 (Sheet
9322sheetRow (SheetRow
9323headerVa (MVa
9324cellColor "49152,49152,49152"
9325fontColor "0,0,0"
9326font "Tahoma,10,0"
9327)
9328cellVa (MVa
9329cellColor "65535,65535,65535"
9330fontColor "0,0,0"
9331font "Tahoma,10,0"
9332)
9333groupVa (MVa
9334cellColor "39936,56832,65280"
9335fontColor "0,0,0"
9336font "Tahoma,10,0"
9337)
9338emptyMRCItem *337 (MRCItem
9339litem &268
9340pos 55
9341dimension 20
9342)
9343uid 69,0
9344optionalChildren [
9345*338 (MRCItem
9346litem &269
9347pos 0
9348dimension 20
9349uid 70,0
9350)
9351*339 (MRCItem
9352litem &270
9353pos 1
9354dimension 23
9355uid 71,0
9356)
9357*340 (MRCItem
9358litem &271
9359pos 2
9360hidden 1
9361dimension 20
9362uid 72,0
9363)
9364*341 (MRCItem
9365litem &281
9366pos 0
9367dimension 20
9368uid 341,0
9369)
9370*342 (MRCItem
9371litem &282
9372pos 1
9373dimension 20
9374uid 343,0
9375)
9376*343 (MRCItem
9377litem &283
9378pos 2
9379dimension 20
9380uid 345,0
9381)
9382*344 (MRCItem
9383litem &284
9384pos 3
9385dimension 20
9386uid 347,0
9387)
9388*345 (MRCItem
9389litem &285
9390pos 4
9391dimension 20
9392uid 349,0
9393)
9394*346 (MRCItem
9395litem &286
9396pos 5
9397dimension 20
9398uid 405,0
9399)
9400*347 (MRCItem
9401litem &287
9402pos 6
9403dimension 20
9404uid 407,0
9405)
9406*348 (MRCItem
9407litem &288
9408pos 7
9409dimension 20
9410uid 409,0
9411)
9412*349 (MRCItem
9413litem &289
9414pos 8
9415dimension 20
9416uid 457,0
9417)
9418*350 (MRCItem
9419litem &290
9420pos 9
9421dimension 20
9422uid 459,0
9423)
9424*351 (MRCItem
9425litem &291
9426pos 10
9427dimension 20
9428uid 461,0
9429)
9430*352 (MRCItem
9431litem &292
9432pos 11
9433dimension 20
9434uid 585,0
9435)
9436*353 (MRCItem
9437litem &293
9438pos 12
9439dimension 20
9440uid 587,0
9441)
9442*354 (MRCItem
9443litem &294
9444pos 13
9445dimension 20
9446uid 589,0
9447)
9448*355 (MRCItem
9449litem &295
9450pos 14
9451dimension 20
9452uid 591,0
9453)
9454*356 (MRCItem
9455litem &296
9456pos 15
9457dimension 20
9458uid 593,0
9459)
9460*357 (MRCItem
9461litem &297
9462pos 16
9463dimension 20
9464uid 904,0
9465)
9466*358 (MRCItem
9467litem &298
9468pos 17
9469dimension 20
9470uid 906,0
9471)
9472*359 (MRCItem
9473litem &299
9474pos 18
9475dimension 20
9476uid 908,0
9477)
9478*360 (MRCItem
9479litem &300
9480pos 19
9481dimension 20
9482uid 910,0
9483)
9484*361 (MRCItem
9485litem &301
9486pos 20
9487dimension 20
9488uid 912,0
9489)
9490*362 (MRCItem
9491litem &302
9492pos 21
9493dimension 20
9494uid 914,0
9495)
9496*363 (MRCItem
9497litem &303
9498pos 22
9499dimension 20
9500uid 916,0
9501)
9502*364 (MRCItem
9503litem &304
9504pos 23
9505dimension 20
9506uid 918,0
9507)
9508*365 (MRCItem
9509litem &305
9510pos 24
9511dimension 20
9512uid 920,0
9513)
9514*366 (MRCItem
9515litem &306
9516pos 25
9517dimension 20
9518uid 922,0
9519)
9520*367 (MRCItem
9521litem &307
9522pos 26
9523dimension 20
9524uid 924,0
9525)
9526*368 (MRCItem
9527litem &308
9528pos 27
9529dimension 20
9530uid 926,0
9531)
9532*369 (MRCItem
9533litem &309
9534pos 28
9535dimension 20
9536uid 928,0
9537)
9538*370 (MRCItem
9539litem &310
9540pos 29
9541dimension 20
9542uid 930,0
9543)
9544*371 (MRCItem
9545litem &311
9546pos 30
9547dimension 20
9548uid 932,0
9549)
9550*372 (MRCItem
9551litem &312
9552pos 31
9553dimension 20
9554uid 934,0
9555)
9556*373 (MRCItem
9557litem &313
9558pos 32
9559dimension 20
9560uid 936,0
9561)
9562*374 (MRCItem
9563litem &314
9564pos 33
9565dimension 20
9566uid 1542,0
9567)
9568*375 (MRCItem
9569litem &315
9570pos 34
9571dimension 20
9572uid 1544,0
9573)
9574*376 (MRCItem
9575litem &316
9576pos 35
9577dimension 20
9578uid 1546,0
9579)
9580*377 (MRCItem
9581litem &317
9582pos 36
9583dimension 20
9584uid 1548,0
9585)
9586*378 (MRCItem
9587litem &318
9588pos 37
9589dimension 20
9590uid 1550,0
9591)
9592*379 (MRCItem
9593litem &319
9594pos 38
9595dimension 20
9596uid 1552,0
9597)
9598*380 (MRCItem
9599litem &320
9600pos 39
9601dimension 20
9602uid 1554,0
9603)
9604*381 (MRCItem
9605litem &321
9606pos 40
9607dimension 20
9608uid 1556,0
9609)
9610*382 (MRCItem
9611litem &322
9612pos 41
9613dimension 20
9614uid 1576,0
9615)
9616*383 (MRCItem
9617litem &323
9618pos 42
9619dimension 20
9620uid 1691,0
9621)
9622*384 (MRCItem
9623litem &324
9624pos 43
9625dimension 20
9626uid 2004,0
9627)
9628*385 (MRCItem
9629litem &325
9630pos 44
9631dimension 20
9632uid 2786,0
9633)
9634*386 (MRCItem
9635litem &326
9636pos 45
9637dimension 20
9638uid 2788,0
9639)
9640*387 (MRCItem
9641litem &327
9642pos 46
9643dimension 20
9644uid 2790,0
9645)
9646*388 (MRCItem
9647litem &328
9648pos 47
9649dimension 20
9650uid 2792,0
9651)
9652*389 (MRCItem
9653litem &329
9654pos 48
9655dimension 20
9656uid 2794,0
9657)
9658*390 (MRCItem
9659litem &330
9660pos 49
9661dimension 20
9662uid 2796,0
9663)
9664*391 (MRCItem
9665litem &331
9666pos 50
9667dimension 20
9668uid 2798,0
9669)
9670*392 (MRCItem
9671litem &332
9672pos 51
9673dimension 20
9674uid 2800,0
9675)
9676*393 (MRCItem
9677litem &333
9678pos 52
9679dimension 20
9680uid 2802,0
9681)
9682*394 (MRCItem
9683litem &334
9684pos 53
9685dimension 20
9686uid 2804,0
9687)
9688*395 (MRCItem
9689litem &335
9690pos 54
9691dimension 20
9692uid 2951,0
9693)
9694]
9695)
9696sheetCol (SheetCol
9697propVa (MVa
9698cellColor "0,49152,49152"
9699fontColor "0,0,0"
9700font "Tahoma,10,0"
9701textAngle 90
9702)
9703uid 73,0
9704optionalChildren [
9705*396 (MRCItem
9706litem &272
9707pos 0
9708dimension 20
9709uid 74,0
9710)
9711*397 (MRCItem
9712litem &274
9713pos 1
9714dimension 50
9715uid 75,0
9716)
9717*398 (MRCItem
9718litem &275
9719pos 2
9720dimension 100
9721uid 76,0
9722)
9723*399 (MRCItem
9724litem &276
9725pos 3
9726dimension 50
9727uid 77,0
9728)
9729*400 (MRCItem
9730litem &277
9731pos 4
9732dimension 100
9733uid 78,0
9734)
9735*401 (MRCItem
9736litem &278
9737pos 5
9738dimension 100
9739uid 79,0
9740)
9741*402 (MRCItem
9742litem &279
9743pos 6
9744dimension 50
9745uid 80,0
9746)
9747*403 (MRCItem
9748litem &280
9749pos 7
9750dimension 80
9751uid 81,0
9752)
9753]
9754)
9755fixedCol 4
9756fixedRow 2
9757name "Ports"
9758uid 68,0
9759vaOverrides [
9760]
9761)
9762]
9763)
9764uid 53,0
9765)
9766genericsCommonDM (CommonDM
9767ldm (LogicalDM
9768emptyRow *404 (LEmptyRow
9769)
9770uid 83,0
9771optionalChildren [
9772*405 (RefLabelRowHdr
9773)
9774*406 (TitleRowHdr
9775)
9776*407 (FilterRowHdr
9777)
9778*408 (RefLabelColHdr
9779tm "RefLabelColHdrMgr"
9780)
9781*409 (RowExpandColHdr
9782tm "RowExpandColHdrMgr"
9783)
9784*410 (GroupColHdr
9785tm "GroupColHdrMgr"
9786)
9787*411 (NameColHdr
9788tm "GenericNameColHdrMgr"
9789)
9790*412 (TypeColHdr
9791tm "GenericTypeColHdrMgr"
9792)
9793*413 (InitColHdr
9794tm "GenericValueColHdrMgr"
9795)
9796*414 (PragmaColHdr
9797tm "GenericPragmaColHdrMgr"
9798)
9799*415 (EolColHdr
9800tm "GenericEolColHdrMgr"
9801)
9802]
9803)
9804pdm (PhysicalDM
9805displayShortBounds 1
9806editShortBounds 1
9807uid 95,0
9808optionalChildren [
9809*416 (Sheet
9810sheetRow (SheetRow
9811headerVa (MVa
9812cellColor "49152,49152,49152"
9813fontColor "0,0,0"
9814font "Tahoma,10,0"
9815)
9816cellVa (MVa
9817cellColor "65535,65535,65535"
9818fontColor "0,0,0"
9819font "Tahoma,10,0"
9820)
9821groupVa (MVa
9822cellColor "39936,56832,65280"
9823fontColor "0,0,0"
9824font "Tahoma,10,0"
9825)
9826emptyMRCItem *417 (MRCItem
9827litem &404
9828pos 0
9829dimension 20
9830)
9831uid 97,0
9832optionalChildren [
9833*418 (MRCItem
9834litem &405
9835pos 0
9836dimension 20
9837uid 98,0
9838)
9839*419 (MRCItem
9840litem &406
9841pos 1
9842dimension 23
9843uid 99,0
9844)
9845*420 (MRCItem
9846litem &407
9847pos 2
9848hidden 1
9849dimension 20
9850uid 100,0
9851)
9852]
9853)
9854sheetCol (SheetCol
9855propVa (MVa
9856cellColor "0,49152,49152"
9857fontColor "0,0,0"
9858font "Tahoma,10,0"
9859textAngle 90
9860)
9861uid 101,0
9862optionalChildren [
9863*421 (MRCItem
9864litem &408
9865pos 0
9866dimension 20
9867uid 102,0
9868)
9869*422 (MRCItem
9870litem &410
9871pos 1
9872dimension 50
9873uid 103,0
9874)
9875*423 (MRCItem
9876litem &411
9877pos 2
9878dimension 100
9879uid 104,0
9880)
9881*424 (MRCItem
9882litem &412
9883pos 3
9884dimension 100
9885uid 105,0
9886)
9887*425 (MRCItem
9888litem &413
9889pos 4
9890dimension 50
9891uid 106,0
9892)
9893*426 (MRCItem
9894litem &414
9895pos 5
9896dimension 50
9897uid 107,0
9898)
9899*427 (MRCItem
9900litem &415
9901pos 6
9902dimension 80
9903uid 108,0
9904)
9905]
9906)
9907fixedCol 3
9908fixedRow 2
9909name "Ports"
9910uid 96,0
9911vaOverrides [
9912]
9913)
9914]
9915)
9916uid 82,0
9917type 1
9918)
9919activeModelName "BlockDiag"
9920)
Note: See TracBrowser for help on using the repository browser.