source: firmware/FAD/FACT_FAD_TB_lib/hds/led_controller_tb/struct.bd

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 49.6 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "ieee"
12unitName "std_logic_arith"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "STD_LOGIC_UNSIGNED"
18itemName "ALL"
19)
20(DmPackageRef
21library "FACT_FAD_lib"
22unitName "fad_definitions"
23itemName "ALL"
24)
25]
26instances [
27(Instance
28name "led_controller_instance"
29duLibraryName "FACT_FAD_lib"
30duName "led_controller"
31elements [
32(GiElement
33name "HEARTBEAT_PWM_DIVIDER"
34type "integer"
35value "500"
36)
37(GiElement
38name "WAITING_DIVIDER"
39type "integer"
40value "500000000"
41)
42]
43mwi 0
44uid 53,0
45)
46(Instance
47name "U_1"
48duLibraryName "FACT_FAD_TB_lib"
49duName "led_controller_tester"
50elements [
51]
52mwi 0
53uid 143,0
54)
55(Instance
56name "U_2"
57duLibraryName "FACT_FAD_TB_lib"
58duName "clock_generator"
59elements [
60(GiElement
61name "clock_period"
62type "time"
63value "20 ns"
64)
65(GiElement
66name "reset_time"
67type "time"
68value "50 ns"
69)
70]
71mwi 0
72uid 424,0
73)
74]
75libraryRefs [
76"ieee"
77"FACT_FAD_lib"
78]
79)
80version "29.1"
81appVersion "2009.1 (Build 12)"
82noEmbeddedEditors 1
83model (BlockDiag
84VExpander (VariableExpander
85vvMap [
86(vvPair
87variable "HDLDir"
88value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
89)
90(vvPair
91variable "HDSDir"
92value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
93)
94(vvPair
95variable "SideDataDesignDir"
96value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb\\struct.bd.info"
97)
98(vvPair
99variable "SideDataUserDir"
100value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb\\struct.bd.user"
101)
102(vvPair
103variable "SourceDir"
104value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
105)
106(vvPair
107variable "appl"
108value "HDL Designer"
109)
110(vvPair
111variable "arch_name"
112value "struct"
113)
114(vvPair
115variable "config"
116value "%(unit)_%(view)_config"
117)
118(vvPair
119variable "d"
120value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb"
121)
122(vvPair
123variable "d_logical"
124value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb"
125)
126(vvPair
127variable "date"
128value "02.03.2011"
129)
130(vvPair
131variable "day"
132value "Mi"
133)
134(vvPair
135variable "day_long"
136value "Mittwoch"
137)
138(vvPair
139variable "dd"
140value "02"
141)
142(vvPair
143variable "entity_name"
144value "led_controller_tb"
145)
146(vvPair
147variable "ext"
148value "<TBD>"
149)
150(vvPair
151variable "f"
152value "struct.bd"
153)
154(vvPair
155variable "f_logical"
156value "struct.bd"
157)
158(vvPair
159variable "f_noext"
160value "struct"
161)
162(vvPair
163variable "group"
164value "UNKNOWN"
165)
166(vvPair
167variable "host"
168value "IHP110"
169)
170(vvPair
171variable "language"
172value "VHDL"
173)
174(vvPair
175variable "library"
176value "FACT_FAD_TB_lib"
177)
178(vvPair
179variable "library_downstream_HdsLintPlugin"
180value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
181)
182(vvPair
183variable "library_downstream_ISEPARInvoke"
184value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
185)
186(vvPair
187variable "library_downstream_ImpactInvoke"
188value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
189)
190(vvPair
191variable "library_downstream_ModelSimCompiler"
192value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
193)
194(vvPair
195variable "library_downstream_XSTDataPrep"
196value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
197)
198(vvPair
199variable "mm"
200value "03"
201)
202(vvPair
203variable "module_name"
204value "led_controller_tb"
205)
206(vvPair
207variable "month"
208value "Mrz"
209)
210(vvPair
211variable "month_long"
212value "März"
213)
214(vvPair
215variable "p"
216value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb\\struct.bd"
217)
218(vvPair
219variable "p_logical"
220value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb\\struct.bd"
221)
222(vvPair
223variable "package_name"
224value "<Undefined Variable>"
225)
226(vvPair
227variable "project_name"
228value "FACT_FAD"
229)
230(vvPair
231variable "series"
232value "HDL Designer Series"
233)
234(vvPair
235variable "task_DesignCompilerPath"
236value "<TBD>"
237)
238(vvPair
239variable "task_LeonardoPath"
240value "<TBD>"
241)
242(vvPair
243variable "task_ModelSimPath"
244value "D:\\modeltech_6.5e\\win32"
245)
246(vvPair
247variable "task_NC-SimPath"
248value "<TBD>"
249)
250(vvPair
251variable "task_PrecisionRTLPath"
252value "<TBD>"
253)
254(vvPair
255variable "task_QuestaSimPath"
256value "<TBD>"
257)
258(vvPair
259variable "task_VCSPath"
260value "<TBD>"
261)
262(vvPair
263variable "this_ext"
264value "bd"
265)
266(vvPair
267variable "this_file"
268value "struct"
269)
270(vvPair
271variable "this_file_logical"
272value "struct"
273)
274(vvPair
275variable "time"
276value "09:58:50"
277)
278(vvPair
279variable "unit"
280value "led_controller_tb"
281)
282(vvPair
283variable "user"
284value "daqct3"
285)
286(vvPair
287variable "version"
288value "2009.1 (Build 12)"
289)
290(vvPair
291variable "view"
292value "struct"
293)
294(vvPair
295variable "year"
296value "2011"
297)
298(vvPair
299variable "yy"
300value "11"
301)
302]
303)
304LanguageMgr "VhdlLangMgr"
305uid 296,0
306optionalChildren [
307*1 (SaComponent
308uid 53,0
309optionalChildren [
310*2 (CptPort
311uid 13,0
312ps "OnEdgeStrategy"
313shape (Triangle
314uid 14,0
315ro 90
316va (VaSet
317vasetType 1
318fg "0,65535,0"
319)
320xt "-750,15625,0,16375"
321)
322tg (CPTG
323uid 15,0
324ps "CptPortTextPlaceStrategy"
325stg "VerticalLayoutStrategy"
326f (Text
327uid 16,0
328va (VaSet
329)
330xt "1000,15500,2900,16500"
331st "CLK"
332blo "1000,16300"
333)
334)
335thePort (LogicalPort
336decl (Decl
337n "CLK"
338t "std_logic"
339o 1
340)
341)
342)
343*3 (CptPort
344uid 17,0
345ps "OnEdgeStrategy"
346shape (Triangle
347uid 18,0
348ro 90
349va (VaSet
350vasetType 1
351fg "0,65535,0"
352)
353xt "18000,15625,18750,16375"
354)
355tg (CPTG
356uid 19,0
357ps "CptPortTextPlaceStrategy"
358stg "RightVerticalLayoutStrategy"
359f (Text
360uid 20,0
361va (VaSet
362)
363xt "14600,15500,17000,16500"
364st "green"
365ju 2
366blo "17000,16300"
367)
368)
369thePort (LogicalPort
370m 1
371decl (Decl
372n "green"
373t "std_logic"
374o 2
375)
376)
377)
378*4 (CptPort
379uid 21,0
380ps "OnEdgeStrategy"
381shape (Triangle
382uid 22,0
383ro 90
384va (VaSet
385vasetType 1
386fg "0,65535,0"
387)
388xt "18000,16625,18750,17375"
389)
390tg (CPTG
391uid 23,0
392ps "CptPortTextPlaceStrategy"
393stg "RightVerticalLayoutStrategy"
394f (Text
395uid 24,0
396va (VaSet
397)
398xt "14500,16500,17000,17500"
399st "amber"
400ju 2
401blo "17000,17300"
402)
403)
404thePort (LogicalPort
405m 1
406decl (Decl
407n "amber"
408t "std_logic"
409o 3
410)
411)
412)
413*5 (CptPort
414uid 25,0
415ps "OnEdgeStrategy"
416shape (Triangle
417uid 26,0
418ro 90
419va (VaSet
420vasetType 1
421fg "0,65535,0"
422)
423xt "18000,17625,18750,18375"
424)
425tg (CPTG
426uid 27,0
427ps "CptPortTextPlaceStrategy"
428stg "RightVerticalLayoutStrategy"
429f (Text
430uid 28,0
431va (VaSet
432)
433xt "15500,17500,17000,18500"
434st "red"
435ju 2
436blo "17000,18300"
437)
438)
439thePort (LogicalPort
440m 1
441decl (Decl
442n "red"
443t "std_logic"
444o 4
445)
446)
447)
448*6 (CptPort
449uid 29,0
450ps "OnEdgeStrategy"
451shape (Triangle
452uid 30,0
453ro 90
454va (VaSet
455vasetType 1
456fg "0,65535,0"
457)
458xt "18000,18625,18750,19375"
459)
460tg (CPTG
461uid 31,0
462ps "CptPortTextPlaceStrategy"
463stg "RightVerticalLayoutStrategy"
464f (Text
465uid 32,0
466va (VaSet
467)
468xt "8500,18500,17000,19500"
469st "additional_flasher_out"
470ju 2
471blo "17000,19300"
472)
473)
474thePort (LogicalPort
475m 1
476decl (Decl
477n "additional_flasher_out"
478t "std_logic"
479o 5
480)
481)
482)
483*7 (CptPort
484uid 33,0
485ps "OnEdgeStrategy"
486shape (Triangle
487uid 34,0
488ro 90
489va (VaSet
490vasetType 1
491fg "0,65535,0"
492)
493xt "-750,16625,0,17375"
494)
495tg (CPTG
496uid 35,0
497ps "CptPortTextPlaceStrategy"
498stg "VerticalLayoutStrategy"
499f (Text
500uid 36,0
501va (VaSet
502)
503xt "1000,16500,3800,17500"
504st "trigger"
505blo "1000,17300"
506)
507)
508thePort (LogicalPort
509decl (Decl
510n "trigger"
511t "std_logic"
512o 6
513)
514)
515)
516*8 (CptPort
517uid 37,0
518ps "OnEdgeStrategy"
519shape (Triangle
520uid 38,0
521ro 90
522va (VaSet
523vasetType 1
524fg "0,65535,0"
525)
526xt "-750,17625,0,18375"
527)
528tg (CPTG
529uid 39,0
530ps "CptPortTextPlaceStrategy"
531stg "VerticalLayoutStrategy"
532f (Text
533uid 40,0
534va (VaSet
535)
536xt "1000,17500,6900,18500"
537st "refclk_too_high"
538blo "1000,18300"
539)
540)
541thePort (LogicalPort
542decl (Decl
543n "refclk_too_high"
544t "std_logic"
545o 7
546)
547)
548)
549*9 (CptPort
550uid 41,0
551ps "OnEdgeStrategy"
552shape (Triangle
553uid 42,0
554ro 90
555va (VaSet
556vasetType 1
557fg "0,65535,0"
558)
559xt "-750,18625,0,19375"
560)
561tg (CPTG
562uid 43,0
563ps "CptPortTextPlaceStrategy"
564stg "VerticalLayoutStrategy"
565f (Text
566uid 44,0
567va (VaSet
568)
569xt "1000,18500,6500,19500"
570st "refclk_too_low"
571blo "1000,19300"
572)
573)
574thePort (LogicalPort
575decl (Decl
576n "refclk_too_low"
577t "std_logic"
578o 8
579)
580)
581)
582*10 (CptPort
583uid 45,0
584ps "OnEdgeStrategy"
585shape (Triangle
586uid 46,0
587ro 90
588va (VaSet
589vasetType 1
590fg "0,65535,0"
591)
592xt "-750,19625,0,20375"
593)
594tg (CPTG
595uid 47,0
596ps "CptPortTextPlaceStrategy"
597stg "VerticalLayoutStrategy"
598f (Text
599uid 48,0
600va (VaSet
601)
602xt "1000,19500,6500,20500"
603st "socks_waiting"
604blo "1000,20300"
605)
606)
607thePort (LogicalPort
608decl (Decl
609n "socks_waiting"
610t "std_logic"
611o 9
612)
613)
614)
615*11 (CptPort
616uid 49,0
617ps "OnEdgeStrategy"
618shape (Triangle
619uid 50,0
620ro 90
621va (VaSet
622vasetType 1
623fg "0,65535,0"
624)
625xt "-750,20625,0,21375"
626)
627tg (CPTG
628uid 51,0
629ps "CptPortTextPlaceStrategy"
630stg "VerticalLayoutStrategy"
631f (Text
632uid 52,0
633va (VaSet
634)
635xt "1000,20500,7500,21500"
636st "socks_connected"
637blo "1000,21300"
638)
639)
640thePort (LogicalPort
641decl (Decl
642n "socks_connected"
643t "std_logic"
644o 10
645)
646)
647)
648]
649shape (Rectangle
650uid 54,0
651va (VaSet
652vasetType 1
653fg "0,65535,0"
654lineColor "0,32896,0"
655lineWidth 2
656)
657xt "0,15000,18000,22000"
658)
659ttg (MlTextGroup
660uid 55,0
661ps "CenterOffsetStrategy"
662stg "VerticalLayoutStrategy"
663textVec [
664*12 (Text
665uid 56,0
666va (VaSet
667font "Arial,8,1"
668)
669xt "5900,22000,12100,23000"
670st "FACT_FAD_lib"
671blo "5900,22800"
672tm "BdLibraryNameMgr"
673)
674*13 (Text
675uid 57,0
676va (VaSet
677font "Arial,8,1"
678)
679xt "5900,23000,11800,24000"
680st "led_controller"
681blo "5900,23800"
682tm "CptNameMgr"
683)
684*14 (Text
685uid 58,0
686va (VaSet
687font "Arial,8,1"
688)
689xt "5900,24000,15700,25000"
690st "led_controller_instance"
691blo "5900,24800"
692tm "InstanceNameMgr"
693)
694]
695)
696ga (GenericAssociation
697uid 59,0
698ps "EdgeToEdgeStrategy"
699matrix (Matrix
700uid 60,0
701text (MLText
702uid 61,0
703va (VaSet
704font "Courier New,8,0"
705)
706xt "0,13400,27000,15000"
707st "HEARTBEAT_PWM_DIVIDER = 500 ( integer )
708WAITING_DIVIDER = 500000000 ( integer ) "
709)
710header ""
711)
712elements [
713(GiElement
714name "HEARTBEAT_PWM_DIVIDER"
715type "integer"
716value "500"
717)
718(GiElement
719name "WAITING_DIVIDER"
720type "integer"
721value "500000000"
722)
723]
724)
725viewicon (ZoomableIcon
726uid 62,0
727sl 0
728va (VaSet
729vasetType 1
730fg "49152,49152,49152"
731)
732xt "250,20250,1750,21750"
733iconName "VhdlFileViewIcon.png"
734iconMaskName "VhdlFileViewIcon.msk"
735ftype 10
736)
737ordering 1
738viewiconposition 0
739portVis (PortSigDisplay
740)
741archType 1
742archFileType "UNKNOWN"
743)
744*15 (Net
745uid 63,0
746decl (Decl
747n "green"
748t "std_logic"
749o 1
750suid 1,0
751)
752declText (MLText
753uid 64,0
754va (VaSet
755font "Courier New,8,0"
756)
757xt "22000,5400,44500,6200"
758st "SIGNAL green : std_logic"
759)
760)
761*16 (Net
762uid 71,0
763decl (Decl
764n "amber"
765t "std_logic"
766o 2
767suid 2,0
768)
769declText (MLText
770uid 72,0
771va (VaSet
772font "Courier New,8,0"
773)
774xt "22000,4600,44500,5400"
775st "SIGNAL amber : std_logic"
776)
777)
778*17 (Net
779uid 79,0
780decl (Decl
781n "red"
782t "std_logic"
783o 3
784suid 3,0
785)
786declText (MLText
787uid 80,0
788va (VaSet
789font "Courier New,8,0"
790)
791xt "22000,6200,44500,7000"
792st "SIGNAL red : std_logic"
793)
794)
795*18 (Net
796uid 87,0
797decl (Decl
798n "additional_flasher_out"
799t "std_logic"
800o 4
801suid 4,0
802)
803declText (MLText
804uid 88,0
805va (VaSet
806font "Courier New,8,0"
807)
808xt "22000,3800,44500,4600"
809st "SIGNAL additional_flasher_out : std_logic"
810)
811)
812*19 (Net
813uid 95,0
814decl (Decl
815n "CLK"
816t "std_logic"
817o 5
818suid 5,0
819)
820declText (MLText
821uid 96,0
822va (VaSet
823font "Courier New,8,0"
824)
825xt "22000,3000,44500,3800"
826st "SIGNAL CLK : std_logic"
827)
828)
829*20 (Net
830uid 103,0
831decl (Decl
832n "trigger"
833t "std_logic"
834o 6
835suid 6,0
836)
837declText (MLText
838uid 104,0
839va (VaSet
840font "Courier New,8,0"
841)
842xt "22000,10200,44500,11000"
843st "SIGNAL trigger : std_logic"
844)
845)
846*21 (Net
847uid 111,0
848decl (Decl
849n "refclk_too_high"
850t "std_logic"
851o 7
852suid 7,0
853)
854declText (MLText
855uid 112,0
856va (VaSet
857font "Courier New,8,0"
858)
859xt "22000,7000,44500,7800"
860st "SIGNAL refclk_too_high : std_logic"
861)
862)
863*22 (Net
864uid 119,0
865decl (Decl
866n "refclk_too_low"
867t "std_logic"
868o 8
869suid 8,0
870)
871declText (MLText
872uid 120,0
873va (VaSet
874font "Courier New,8,0"
875)
876xt "22000,7800,44500,8600"
877st "SIGNAL refclk_too_low : std_logic"
878)
879)
880*23 (Net
881uid 127,0
882decl (Decl
883n "socks_waiting"
884t "std_logic"
885o 9
886suid 9,0
887)
888declText (MLText
889uid 128,0
890va (VaSet
891font "Courier New,8,0"
892)
893xt "22000,9400,44500,10200"
894st "SIGNAL socks_waiting : std_logic"
895)
896)
897*24 (Net
898uid 135,0
899decl (Decl
900n "socks_connected"
901t "std_logic"
902o 10
903suid 10,0
904)
905declText (MLText
906uid 136,0
907va (VaSet
908font "Courier New,8,0"
909)
910xt "22000,8600,44500,9400"
911st "SIGNAL socks_connected : std_logic"
912)
913)
914*25 (Blk
915uid 143,0
916shape (Rectangle
917uid 144,0
918va (VaSet
919vasetType 1
920fg "39936,56832,65280"
921lineColor "0,0,32768"
922lineWidth 2
923)
924xt "44000,13000,62000,20000"
925)
926ttg (MlTextGroup
927uid 145,0
928ps "CenterOffsetStrategy"
929stg "VerticalLayoutStrategy"
930textVec [
931*26 (Text
932uid 146,0
933va (VaSet
934font "Arial,8,1"
935)
936xt "49150,15000,56850,16000"
937st "FACT_FAD_TB_lib"
938blo "49150,15800"
939tm "BdLibraryNameMgr"
940)
941*27 (Text
942uid 147,0
943va (VaSet
944font "Arial,8,1"
945)
946xt "49150,16000,57950,17000"
947st "led_controller_tester"
948blo "49150,16800"
949tm "BlkNameMgr"
950)
951*28 (Text
952uid 148,0
953va (VaSet
954font "Arial,8,1"
955)
956xt "49150,17000,50950,18000"
957st "U_1"
958blo "49150,17800"
959tm "InstanceNameMgr"
960)
961]
962)
963ga (GenericAssociation
964uid 149,0
965ps "EdgeToEdgeStrategy"
966matrix (Matrix
967uid 150,0
968text (MLText
969uid 151,0
970va (VaSet
971font "Courier New,8,0"
972)
973xt "49150,25000,49150,25000"
974)
975header ""
976)
977elements [
978]
979)
980viewicon (ZoomableIcon
981uid 152,0
982sl 0
983va (VaSet
984vasetType 1
985fg "49152,49152,49152"
986)
987xt "44250,18250,45750,19750"
988iconName "VhdlFileViewIcon.png"
989iconMaskName "VhdlFileViewIcon.msk"
990ftype 10
991)
992ordering 1
993viewiconposition 0
994blkPorts [
995"additional_flasher_out"
996"amber"
997"green"
998"red"
999"refclk_too_high"
1000"refclk_too_low"
1001"socks_connected"
1002"socks_waiting"
1003"trigger"
1004]
1005)
1006*29 (Grouping
1007uid 233,0
1008optionalChildren [
1009*30 (CommentText
1010uid 235,0
1011shape (Rectangle
1012uid 236,0
1013sl 0
1014va (VaSet
1015vasetType 1
1016fg "65280,65280,46080"
1017)
1018xt "20000,50000,37000,51000"
1019)
1020oxt "18000,70000,35000,71000"
1021text (MLText
1022uid 237,0
1023va (VaSet
1024fg "0,0,32768"
1025bg "0,0,32768"
1026)
1027xt "20200,50000,30000,51000"
1028st "
1029by %user on %dd %month %year
1030"
1031tm "CommentText"
1032wrapOption 3
1033visibleHeight 1000
1034visibleWidth 17000
1035)
1036position 1
1037ignorePrefs 1
1038titleBlock 1
1039)
1040*31 (CommentText
1041uid 238,0
1042shape (Rectangle
1043uid 239,0
1044sl 0
1045va (VaSet
1046vasetType 1
1047fg "65280,65280,46080"
1048)
1049xt "37000,46000,41000,47000"
1050)
1051oxt "35000,66000,39000,67000"
1052text (MLText
1053uid 240,0
1054va (VaSet
1055fg "0,0,32768"
1056bg "0,0,32768"
1057)
1058xt "37200,46000,40200,47000"
1059st "
1060Project:
1061"
1062tm "CommentText"
1063wrapOption 3
1064visibleHeight 1000
1065visibleWidth 4000
1066)
1067position 1
1068ignorePrefs 1
1069titleBlock 1
1070)
1071*32 (CommentText
1072uid 241,0
1073shape (Rectangle
1074uid 242,0
1075sl 0
1076va (VaSet
1077vasetType 1
1078fg "65280,65280,46080"
1079)
1080xt "20000,48000,37000,49000"
1081)
1082oxt "18000,68000,35000,69000"
1083text (MLText
1084uid 243,0
1085va (VaSet
1086fg "0,0,32768"
1087bg "0,0,32768"
1088)
1089xt "20200,48000,30200,49000"
1090st "
1091<enter diagram title here>
1092"
1093tm "CommentText"
1094wrapOption 3
1095visibleHeight 1000
1096visibleWidth 17000
1097)
1098position 1
1099ignorePrefs 1
1100titleBlock 1
1101)
1102*33 (CommentText
1103uid 244,0
1104shape (Rectangle
1105uid 245,0
1106sl 0
1107va (VaSet
1108vasetType 1
1109fg "65280,65280,46080"
1110)
1111xt "16000,48000,20000,49000"
1112)
1113oxt "14000,68000,18000,69000"
1114text (MLText
1115uid 246,0
1116va (VaSet
1117fg "0,0,32768"
1118bg "0,0,32768"
1119)
1120xt "16200,48000,18300,49000"
1121st "
1122Title:
1123"
1124tm "CommentText"
1125wrapOption 3
1126visibleHeight 1000
1127visibleWidth 4000
1128)
1129position 1
1130ignorePrefs 1
1131titleBlock 1
1132)
1133*34 (CommentText
1134uid 247,0
1135shape (Rectangle
1136uid 248,0
1137sl 0
1138va (VaSet
1139vasetType 1
1140fg "65280,65280,46080"
1141)
1142xt "37000,47000,57000,51000"
1143)
1144oxt "35000,67000,55000,71000"
1145text (MLText
1146uid 249,0
1147va (VaSet
1148fg "0,0,32768"
1149bg "0,0,32768"
1150)
1151xt "37200,47200,46400,48200"
1152st "
1153<enter comments here>
1154"
1155tm "CommentText"
1156wrapOption 3
1157visibleHeight 4000
1158visibleWidth 20000
1159)
1160ignorePrefs 1
1161titleBlock 1
1162)
1163*35 (CommentText
1164uid 250,0
1165shape (Rectangle
1166uid 251,0
1167sl 0
1168va (VaSet
1169vasetType 1
1170fg "65280,65280,46080"
1171)
1172xt "41000,46000,57000,47000"
1173)
1174oxt "39000,66000,55000,67000"
1175text (MLText
1176uid 252,0
1177va (VaSet
1178fg "0,0,32768"
1179bg "0,0,32768"
1180)
1181xt "41200,46000,45700,47000"
1182st "
1183%project_name
1184"
1185tm "CommentText"
1186wrapOption 3
1187visibleHeight 1000
1188visibleWidth 16000
1189)
1190position 1
1191ignorePrefs 1
1192titleBlock 1
1193)
1194*36 (CommentText
1195uid 253,0
1196shape (Rectangle
1197uid 254,0
1198sl 0
1199va (VaSet
1200vasetType 1
1201fg "65280,65280,46080"
1202)
1203xt "16000,46000,37000,48000"
1204)
1205oxt "14000,66000,35000,68000"
1206text (MLText
1207uid 255,0
1208va (VaSet
1209fg "32768,0,0"
1210)
1211xt "23150,46500,29850,47500"
1212st "
1213<company name>
1214"
1215ju 0
1216tm "CommentText"
1217wrapOption 3
1218visibleHeight 2000
1219visibleWidth 21000
1220)
1221position 1
1222ignorePrefs 1
1223titleBlock 1
1224)
1225*37 (CommentText
1226uid 256,0
1227shape (Rectangle
1228uid 257,0
1229sl 0
1230va (VaSet
1231vasetType 1
1232fg "65280,65280,46080"
1233)
1234xt "16000,49000,20000,50000"
1235)
1236oxt "14000,69000,18000,70000"
1237text (MLText
1238uid 258,0
1239va (VaSet
1240fg "0,0,32768"
1241bg "0,0,32768"
1242)
1243xt "16200,49000,18300,50000"
1244st "
1245Path:
1246"
1247tm "CommentText"
1248wrapOption 3
1249visibleHeight 1000
1250visibleWidth 4000
1251)
1252position 1
1253ignorePrefs 1
1254titleBlock 1
1255)
1256*38 (CommentText
1257uid 259,0
1258shape (Rectangle
1259uid 260,0
1260sl 0
1261va (VaSet
1262vasetType 1
1263fg "65280,65280,46080"
1264)
1265xt "16000,50000,20000,51000"
1266)
1267oxt "14000,70000,18000,71000"
1268text (MLText
1269uid 261,0
1270va (VaSet
1271fg "0,0,32768"
1272bg "0,0,32768"
1273)
1274xt "16200,50000,18900,51000"
1275st "
1276Edited:
1277"
1278tm "CommentText"
1279wrapOption 3
1280visibleHeight 1000
1281visibleWidth 4000
1282)
1283position 1
1284ignorePrefs 1
1285titleBlock 1
1286)
1287*39 (CommentText
1288uid 262,0
1289shape (Rectangle
1290uid 263,0
1291sl 0
1292va (VaSet
1293vasetType 1
1294fg "65280,65280,46080"
1295)
1296xt "20000,49000,37000,50000"
1297)
1298oxt "18000,69000,35000,70000"
1299text (MLText
1300uid 264,0
1301va (VaSet
1302fg "0,0,32768"
1303bg "0,0,32768"
1304)
1305xt "20200,49000,36400,50000"
1306st "
1307%library/%unit/%view
1308"
1309tm "CommentText"
1310wrapOption 3
1311visibleHeight 1000
1312visibleWidth 17000
1313)
1314position 1
1315ignorePrefs 1
1316titleBlock 1
1317)
1318]
1319shape (GroupingShape
1320uid 234,0
1321va (VaSet
1322vasetType 1
1323fg "65535,65535,65535"
1324lineStyle 2
1325lineWidth 2
1326)
1327xt "16000,46000,57000,51000"
1328)
1329oxt "14000,66000,55000,71000"
1330)
1331*40 (SaComponent
1332uid 424,0
1333optionalChildren [
1334*41 (CptPort
1335uid 413,0
1336ps "OnEdgeStrategy"
1337shape (Triangle
1338uid 414,0
1339ro 90
1340va (VaSet
1341vasetType 1
1342fg "0,65535,0"
1343)
1344xt "7000,31625,7750,32375"
1345)
1346tg (CPTG
1347uid 415,0
1348ps "CptPortTextPlaceStrategy"
1349stg "RightVerticalLayoutStrategy"
1350f (Text
1351uid 416,0
1352va (VaSet
1353)
1354xt "4700,31500,6000,32500"
1355st "clk"
1356ju 2
1357blo "6000,32300"
1358)
1359)
1360thePort (LogicalPort
1361m 1
1362decl (Decl
1363n "clk"
1364t "std_logic"
1365preAdd 0
1366posAdd 0
1367o 1
1368suid 1,0
1369i "'0'"
1370)
1371)
1372)
1373*42 (CptPort
1374uid 417,0
1375ps "OnEdgeStrategy"
1376shape (Triangle
1377uid 418,0
1378ro 90
1379va (VaSet
1380vasetType 1
1381fg "0,65535,0"
1382)
1383xt "7000,32625,7750,33375"
1384)
1385tg (CPTG
1386uid 419,0
1387ps "CptPortTextPlaceStrategy"
1388stg "RightVerticalLayoutStrategy"
1389f (Text
1390uid 420,0
1391va (VaSet
1392)
1393xt "4700,32500,6000,33500"
1394st "rst"
1395ju 2
1396blo "6000,33300"
1397)
1398)
1399thePort (LogicalPort
1400m 1
1401decl (Decl
1402n "rst"
1403t "std_logic"
1404preAdd 0
1405posAdd 0
1406o 2
1407suid 2,0
1408i "'0'"
1409)
1410)
1411)
1412]
1413shape (Rectangle
1414uid 425,0
1415va (VaSet
1416vasetType 1
1417fg "0,49152,49152"
1418lineColor "0,0,50000"
1419lineWidth 2
1420)
1421xt "-1000,31000,7000,35000"
1422)
1423oxt "22000,15000,30000,19000"
1424ttg (MlTextGroup
1425uid 426,0
1426ps "CenterOffsetStrategy"
1427stg "VerticalLayoutStrategy"
1428textVec [
1429*43 (Text
1430uid 427,0
1431va (VaSet
1432font "Arial,8,1"
1433)
1434xt "-850,35000,6850,36000"
1435st "FACT_FAD_TB_lib"
1436blo "-850,35800"
1437tm "BdLibraryNameMgr"
1438)
1439*44 (Text
1440uid 428,0
1441va (VaSet
1442font "Arial,8,1"
1443)
1444xt "-850,36000,5850,37000"
1445st "clock_generator"
1446blo "-850,36800"
1447tm "CptNameMgr"
1448)
1449*45 (Text
1450uid 429,0
1451va (VaSet
1452font "Arial,8,1"
1453)
1454xt "-850,37000,950,38000"
1455st "U_2"
1456blo "-850,37800"
1457tm "InstanceNameMgr"
1458)
1459]
1460)
1461ga (GenericAssociation
1462uid 430,0
1463ps "EdgeToEdgeStrategy"
1464matrix (Matrix
1465uid 431,0
1466text (MLText
1467uid 432,0
1468va (VaSet
1469font "Courier New,8,0"
1470)
1471xt "-5000,29400,13500,31000"
1472st "clock_period = 20 ns ( time )
1473reset_time = 50 ns ( time ) "
1474)
1475header ""
1476)
1477elements [
1478(GiElement
1479name "clock_period"
1480type "time"
1481value "20 ns"
1482)
1483(GiElement
1484name "reset_time"
1485type "time"
1486value "50 ns"
1487)
1488]
1489)
1490viewicon (ZoomableIcon
1491uid 433,0
1492sl 0
1493va (VaSet
1494vasetType 1
1495fg "49152,49152,49152"
1496)
1497xt "-750,33250,750,34750"
1498iconName "VhdlFileViewIcon.png"
1499iconMaskName "VhdlFileViewIcon.msk"
1500ftype 10
1501)
1502ordering 1
1503viewiconposition 0
1504portVis (PortSigDisplay
1505)
1506archFileType "UNKNOWN"
1507)
1508*46 (Wire
1509uid 65,0
1510shape (OrthoPolyLine
1511uid 66,0
1512va (VaSet
1513vasetType 3
1514)
1515xt "18750,16000,30000,16000"
1516pts [
1517"18750,16000"
1518"30000,16000"
1519]
1520)
1521start &3
1522sat 32
1523eat 16
1524st 0
1525sf 1
1526si 0
1527tg (WTG
1528uid 69,0
1529ps "ConnStartEndStrategy"
1530stg "STSignalDisplayStrategy"
1531f (Text
1532uid 70,0
1533va (VaSet
1534)
1535xt "20000,15000,22400,16000"
1536st "green"
1537blo "20000,15800"
1538tm "WireNameMgr"
1539)
1540)
1541on &15
1542)
1543*47 (Wire
1544uid 73,0
1545shape (OrthoPolyLine
1546uid 74,0
1547va (VaSet
1548vasetType 3
1549)
1550xt "18750,17000,30000,17000"
1551pts [
1552"18750,17000"
1553"30000,17000"
1554]
1555)
1556start &4
1557sat 32
1558eat 16
1559st 0
1560sf 1
1561si 0
1562tg (WTG
1563uid 77,0
1564ps "ConnStartEndStrategy"
1565stg "STSignalDisplayStrategy"
1566f (Text
1567uid 78,0
1568va (VaSet
1569)
1570xt "20000,16000,22500,17000"
1571st "amber"
1572blo "20000,16800"
1573tm "WireNameMgr"
1574)
1575)
1576on &16
1577)
1578*48 (Wire
1579uid 81,0
1580shape (OrthoPolyLine
1581uid 82,0
1582va (VaSet
1583vasetType 3
1584)
1585xt "18750,18000,30000,18000"
1586pts [
1587"18750,18000"
1588"30000,18000"
1589]
1590)
1591start &5
1592sat 32
1593eat 16
1594st 0
1595sf 1
1596si 0
1597tg (WTG
1598uid 85,0
1599ps "ConnStartEndStrategy"
1600stg "STSignalDisplayStrategy"
1601f (Text
1602uid 86,0
1603va (VaSet
1604)
1605xt "20000,17000,21500,18000"
1606st "red"
1607blo "20000,17800"
1608tm "WireNameMgr"
1609)
1610)
1611on &17
1612)
1613*49 (Wire
1614uid 89,0
1615shape (OrthoPolyLine
1616uid 90,0
1617va (VaSet
1618vasetType 3
1619)
1620xt "18750,19000,30000,19000"
1621pts [
1622"18750,19000"
1623"30000,19000"
1624]
1625)
1626start &6
1627sat 32
1628eat 16
1629st 0
1630sf 1
1631si 0
1632tg (WTG
1633uid 93,0
1634ps "ConnStartEndStrategy"
1635stg "STSignalDisplayStrategy"
1636f (Text
1637uid 94,0
1638va (VaSet
1639)
1640xt "20000,18000,28500,19000"
1641st "additional_flasher_out"
1642blo "20000,18800"
1643tm "WireNameMgr"
1644)
1645)
1646on &18
1647)
1648*50 (Wire
1649uid 97,0
1650shape (OrthoPolyLine
1651uid 98,0
1652va (VaSet
1653vasetType 3
1654)
1655xt "-10000,16000,-750,16000"
1656pts [
1657"-10000,16000"
1658"-750,16000"
1659]
1660)
1661end &2
1662sat 16
1663eat 32
1664st 0
1665sf 1
1666si 0
1667tg (WTG
1668uid 101,0
1669ps "ConnStartEndStrategy"
1670stg "STSignalDisplayStrategy"
1671f (Text
1672uid 102,0
1673va (VaSet
1674)
1675xt "-9000,15000,-7100,16000"
1676st "CLK"
1677blo "-9000,15800"
1678tm "WireNameMgr"
1679)
1680)
1681on &19
1682)
1683*51 (Wire
1684uid 105,0
1685shape (OrthoPolyLine
1686uid 106,0
1687va (VaSet
1688vasetType 3
1689)
1690xt "-10000,17000,-750,17000"
1691pts [
1692"-10000,17000"
1693"-750,17000"
1694]
1695)
1696end &7
1697sat 16
1698eat 32
1699st 0
1700sf 1
1701si 0
1702tg (WTG
1703uid 109,0
1704ps "ConnStartEndStrategy"
1705stg "STSignalDisplayStrategy"
1706f (Text
1707uid 110,0
1708va (VaSet
1709)
1710xt "-9000,16000,-6200,17000"
1711st "trigger"
1712blo "-9000,16800"
1713tm "WireNameMgr"
1714)
1715)
1716on &20
1717)
1718*52 (Wire
1719uid 113,0
1720shape (OrthoPolyLine
1721uid 114,0
1722va (VaSet
1723vasetType 3
1724)
1725xt "-10000,18000,-750,18000"
1726pts [
1727"-10000,18000"
1728"-750,18000"
1729]
1730)
1731end &8
1732sat 16
1733eat 32
1734st 0
1735sf 1
1736si 0
1737tg (WTG
1738uid 117,0
1739ps "ConnStartEndStrategy"
1740stg "STSignalDisplayStrategy"
1741f (Text
1742uid 118,0
1743va (VaSet
1744)
1745xt "-9000,17000,-3100,18000"
1746st "refclk_too_high"
1747blo "-9000,17800"
1748tm "WireNameMgr"
1749)
1750)
1751on &21
1752)
1753*53 (Wire
1754uid 121,0
1755shape (OrthoPolyLine
1756uid 122,0
1757va (VaSet
1758vasetType 3
1759)
1760xt "-10000,19000,-750,19000"
1761pts [
1762"-10000,19000"
1763"-750,19000"
1764]
1765)
1766end &9
1767sat 16
1768eat 32
1769st 0
1770sf 1
1771si 0
1772tg (WTG
1773uid 125,0
1774ps "ConnStartEndStrategy"
1775stg "STSignalDisplayStrategy"
1776f (Text
1777uid 126,0
1778va (VaSet
1779)
1780xt "-9000,18000,-3500,19000"
1781st "refclk_too_low"
1782blo "-9000,18800"
1783tm "WireNameMgr"
1784)
1785)
1786on &22
1787)
1788*54 (Wire
1789uid 129,0
1790shape (OrthoPolyLine
1791uid 130,0
1792va (VaSet
1793vasetType 3
1794)
1795xt "-10000,20000,-750,20000"
1796pts [
1797"-10000,20000"
1798"-750,20000"
1799]
1800)
1801end &10
1802sat 16
1803eat 32
1804st 0
1805sf 1
1806si 0
1807tg (WTG
1808uid 133,0
1809ps "ConnStartEndStrategy"
1810stg "STSignalDisplayStrategy"
1811f (Text
1812uid 134,0
1813va (VaSet
1814)
1815xt "-9000,19000,-3500,20000"
1816st "socks_waiting"
1817blo "-9000,19800"
1818tm "WireNameMgr"
1819)
1820)
1821on &23
1822)
1823*55 (Wire
1824uid 137,0
1825shape (OrthoPolyLine
1826uid 138,0
1827va (VaSet
1828vasetType 3
1829)
1830xt "-10000,21000,-750,21000"
1831pts [
1832"-10000,21000"
1833"-750,21000"
1834]
1835)
1836end &11
1837sat 16
1838eat 32
1839st 0
1840sf 1
1841si 0
1842tg (WTG
1843uid 141,0
1844ps "ConnStartEndStrategy"
1845stg "STSignalDisplayStrategy"
1846f (Text
1847uid 142,0
1848va (VaSet
1849)
1850xt "-9000,20000,-2500,21000"
1851st "socks_connected"
1852blo "-9000,20800"
1853tm "WireNameMgr"
1854)
1855)
1856on &24
1857)
1858*56 (Wire
1859uid 153,0
1860shape (OrthoPolyLine
1861uid 154,0
1862va (VaSet
1863vasetType 3
1864)
1865xt "62000,16000,74000,16000"
1866pts [
1867"62000,16000"
1868"74000,16000"
1869]
1870)
1871start &25
1872sat 1
1873eat 16
1874st 0
1875sf 1
1876si 0
1877tg (WTG
1878uid 159,0
1879ps "ConnStartEndStrategy"
1880stg "STSignalDisplayStrategy"
1881f (Text
1882uid 160,0
1883va (VaSet
1884)
1885xt "63000,15000,64500,16000"
1886st "red"
1887blo "63000,15800"
1888tm "WireNameMgr"
1889)
1890)
1891on &17
1892)
1893*57 (Wire
1894uid 161,0
1895shape (OrthoPolyLine
1896uid 162,0
1897va (VaSet
1898vasetType 3
1899)
1900xt "62000,14000,74000,14000"
1901pts [
1902"62000,14000"
1903"74000,14000"
1904]
1905)
1906start &25
1907sat 1
1908eat 16
1909st 0
1910sf 1
1911si 0
1912tg (WTG
1913uid 167,0
1914ps "ConnStartEndStrategy"
1915stg "STSignalDisplayStrategy"
1916f (Text
1917uid 168,0
1918va (VaSet
1919)
1920xt "63000,13000,65400,14000"
1921st "green"
1922blo "63000,13800"
1923tm "WireNameMgr"
1924)
1925)
1926on &15
1927)
1928*58 (Wire
1929uid 169,0
1930shape (OrthoPolyLine
1931uid 170,0
1932va (VaSet
1933vasetType 3
1934)
1935xt "34000,15000,44000,15000"
1936pts [
1937"34000,15000"
1938"44000,15000"
1939]
1940)
1941end &25
1942sat 16
1943eat 2
1944st 0
1945sf 1
1946si 0
1947tg (WTG
1948uid 175,0
1949ps "ConnStartEndStrategy"
1950stg "STSignalDisplayStrategy"
1951f (Text
1952uid 176,0
1953va (VaSet
1954)
1955xt "35000,14000,37800,15000"
1956st "trigger"
1957blo "35000,14800"
1958tm "WireNameMgr"
1959)
1960)
1961on &20
1962)
1963*59 (Wire
1964uid 177,0
1965shape (OrthoPolyLine
1966uid 178,0
1967va (VaSet
1968vasetType 3
1969)
1970xt "62000,17000,74000,17000"
1971pts [
1972"62000,17000"
1973"74000,17000"
1974]
1975)
1976start &25
1977sat 1
1978eat 16
1979st 0
1980sf 1
1981si 0
1982tg (WTG
1983uid 183,0
1984ps "ConnStartEndStrategy"
1985stg "STSignalDisplayStrategy"
1986f (Text
1987uid 184,0
1988va (VaSet
1989)
1990xt "63000,16000,71500,17000"
1991st "additional_flasher_out"
1992blo "63000,16800"
1993tm "WireNameMgr"
1994)
1995)
1996on &18
1997)
1998*60 (Wire
1999uid 185,0
2000shape (OrthoPolyLine
2001uid 186,0
2002va (VaSet
2003vasetType 3
2004)
2005xt "34000,18000,44000,18000"
2006pts [
2007"34000,18000"
2008"44000,18000"
2009]
2010)
2011end &25
2012sat 16
2013eat 2
2014st 0
2015sf 1
2016si 0
2017tg (WTG
2018uid 191,0
2019ps "ConnStartEndStrategy"
2020stg "STSignalDisplayStrategy"
2021f (Text
2022uid 192,0
2023va (VaSet
2024)
2025xt "35000,17000,40500,18000"
2026st "socks_waiting"
2027blo "35000,17800"
2028tm "WireNameMgr"
2029)
2030)
2031on &23
2032)
2033*61 (Wire
2034uid 193,0
2035shape (OrthoPolyLine
2036uid 194,0
2037va (VaSet
2038vasetType 3
2039)
2040xt "34000,16000,44000,16000"
2041pts [
2042"34000,16000"
2043"44000,16000"
2044]
2045)
2046end &25
2047sat 16
2048eat 2
2049st 0
2050sf 1
2051si 0
2052tg (WTG
2053uid 199,0
2054ps "ConnStartEndStrategy"
2055stg "STSignalDisplayStrategy"
2056f (Text
2057uid 200,0
2058va (VaSet
2059)
2060xt "35000,15000,40900,16000"
2061st "refclk_too_high"
2062blo "35000,15800"
2063tm "WireNameMgr"
2064)
2065)
2066on &21
2067)
2068*62 (Wire
2069uid 201,0
2070shape (OrthoPolyLine
2071uid 202,0
2072va (VaSet
2073vasetType 3
2074)
2075xt "34000,17000,44000,17000"
2076pts [
2077"34000,17000"
2078"44000,17000"
2079]
2080)
2081end &25
2082sat 16
2083eat 2
2084st 0
2085sf 1
2086si 0
2087tg (WTG
2088uid 207,0
2089ps "ConnStartEndStrategy"
2090stg "STSignalDisplayStrategy"
2091f (Text
2092uid 208,0
2093va (VaSet
2094)
2095xt "35000,16000,40500,17000"
2096st "refclk_too_low"
2097blo "35000,16800"
2098tm "WireNameMgr"
2099)
2100)
2101on &22
2102)
2103*63 (Wire
2104uid 217,0
2105shape (OrthoPolyLine
2106uid 218,0
2107va (VaSet
2108vasetType 3
2109)
2110xt "62000,15000,74000,15000"
2111pts [
2112"62000,15000"
2113"74000,15000"
2114]
2115)
2116start &25
2117sat 1
2118eat 16
2119st 0
2120sf 1
2121si 0
2122tg (WTG
2123uid 223,0
2124ps "ConnStartEndStrategy"
2125stg "STSignalDisplayStrategy"
2126f (Text
2127uid 224,0
2128va (VaSet
2129)
2130xt "63000,14000,65500,15000"
2131st "amber"
2132blo "63000,14800"
2133tm "WireNameMgr"
2134)
2135)
2136on &16
2137)
2138*64 (Wire
2139uid 225,0
2140shape (OrthoPolyLine
2141uid 226,0
2142va (VaSet
2143vasetType 3
2144)
2145xt "34000,19000,44000,19000"
2146pts [
2147"34000,19000"
2148"44000,19000"
2149]
2150)
2151end &25
2152sat 16
2153eat 2
2154st 0
2155sf 1
2156si 0
2157tg (WTG
2158uid 231,0
2159ps "ConnStartEndStrategy"
2160stg "STSignalDisplayStrategy"
2161f (Text
2162uid 232,0
2163va (VaSet
2164)
2165xt "35000,18000,41500,19000"
2166st "socks_connected"
2167blo "35000,18800"
2168tm "WireNameMgr"
2169)
2170)
2171on &24
2172)
2173*65 (Wire
2174uid 434,0
2175shape (OrthoPolyLine
2176uid 435,0
2177va (VaSet
2178vasetType 3
2179)
2180xt "7750,32000,12000,32000"
2181pts [
2182"7750,32000"
2183"12000,32000"
2184]
2185)
2186start &41
2187sat 32
2188eat 16
2189st 0
2190sf 1
2191si 0
2192tg (WTG
2193uid 438,0
2194ps "ConnStartEndStrategy"
2195stg "STSignalDisplayStrategy"
2196f (Text
2197uid 439,0
2198va (VaSet
2199)
2200xt "9000,31000,10900,32000"
2201st "CLK"
2202blo "9000,31800"
2203tm "WireNameMgr"
2204)
2205)
2206on &19
2207)
2208]
2209bg "65535,65535,65535"
2210grid (Grid
2211origin "0,0"
2212isVisible 1
2213isActive 1
2214xSpacing 1000
2215xySpacing 1000
2216xShown 1
2217yShown 1
2218color "26368,26368,26368"
2219)
2220packageList *66 (PackageList
2221uid 285,0
2222stg "VerticalLayoutStrategy"
2223textVec [
2224*67 (Text
2225uid 286,0
2226va (VaSet
2227font "arial,8,1"
2228)
2229xt "0,0,5400,1000"
2230st "Package List"
2231blo "0,800"
2232)
2233*68 (MLText
2234uid 287,0
2235va (VaSet
2236)
2237xt "0,1000,15300,7000"
2238st "LIBRARY ieee;
2239USE ieee.std_logic_1164.ALL;
2240USE ieee.std_logic_arith.ALL;
2241USE ieee.STD_LOGIC_UNSIGNED.ALL;
2242LIBRARY FACT_FAD_lib;
2243USE FACT_FAD_lib.fad_definitions.ALL;"
2244tm "PackageList"
2245)
2246]
2247)
2248compDirBlock (MlTextGroup
2249uid 288,0
2250stg "VerticalLayoutStrategy"
2251textVec [
2252*69 (Text
2253uid 289,0
2254va (VaSet
2255isHidden 1
2256font "Arial,8,1"
2257)
2258xt "20000,0,28100,1000"
2259st "Compiler Directives"
2260blo "20000,800"
2261)
2262*70 (Text
2263uid 290,0
2264va (VaSet
2265isHidden 1
2266font "Arial,8,1"
2267)
2268xt "20000,1000,29600,2000"
2269st "Pre-module directives:"
2270blo "20000,1800"
2271)
2272*71 (MLText
2273uid 291,0
2274va (VaSet
2275isHidden 1
2276)
2277xt "20000,2000,27500,4000"
2278st "`resetall
2279`timescale 1ns/10ps"
2280tm "BdCompilerDirectivesTextMgr"
2281)
2282*72 (Text
2283uid 292,0
2284va (VaSet
2285isHidden 1
2286font "Arial,8,1"
2287)
2288xt "20000,4000,30100,5000"
2289st "Post-module directives:"
2290blo "20000,4800"
2291)
2292*73 (MLText
2293uid 293,0
2294va (VaSet
2295isHidden 1
2296)
2297xt "20000,0,20000,0"
2298tm "BdCompilerDirectivesTextMgr"
2299)
2300*74 (Text
2301uid 294,0
2302va (VaSet
2303isHidden 1
2304font "Arial,8,1"
2305)
2306xt "20000,5000,29900,6000"
2307st "End-module directives:"
2308blo "20000,5800"
2309)
2310*75 (MLText
2311uid 295,0
2312va (VaSet
2313isHidden 1
2314)
2315xt "20000,6000,20000,6000"
2316tm "BdCompilerDirectivesTextMgr"
2317)
2318]
2319associable 1
2320)
2321windowSize "168,0,1185,690"
2322viewArea "-7600,-500,64220,49000"
2323cachedDiagramExtent "-10400,0,74400,51000"
2324hasePageBreakOrigin 1
2325pageBreakOrigin "-11000,0"
2326lastUid 520,0
2327defaultCommentText (CommentText
2328shape (Rectangle
2329layer 0
2330va (VaSet
2331vasetType 1
2332fg "65280,65280,46080"
2333lineColor "0,0,32768"
2334)
2335xt "0,0,15000,5000"
2336)
2337text (MLText
2338va (VaSet
2339fg "0,0,32768"
2340)
2341xt "200,200,2000,1200"
2342st "
2343Text
2344"
2345tm "CommentText"
2346wrapOption 3
2347visibleHeight 4600
2348visibleWidth 14600
2349)
2350)
2351defaultPanel (Panel
2352shape (RectFrame
2353va (VaSet
2354vasetType 1
2355fg "65535,65535,65535"
2356lineColor "32768,0,0"
2357lineWidth 3
2358)
2359xt "0,0,20000,20000"
2360)
2361title (TextAssociate
2362ps "TopLeftStrategy"
2363text (Text
2364va (VaSet
2365font "Arial,8,1"
2366)
2367xt "1000,1000,3800,2000"
2368st "Panel0"
2369blo "1000,1800"
2370tm "PanelText"
2371)
2372)
2373)
2374defaultBlk (Blk
2375shape (Rectangle
2376va (VaSet
2377vasetType 1
2378fg "39936,56832,65280"
2379lineColor "0,0,32768"
2380lineWidth 2
2381)
2382xt "0,0,8000,10000"
2383)
2384ttg (MlTextGroup
2385ps "CenterOffsetStrategy"
2386stg "VerticalLayoutStrategy"
2387textVec [
2388*76 (Text
2389va (VaSet
2390font "Arial,8,1"
2391)
2392xt "2200,3500,5800,4500"
2393st "<library>"
2394blo "2200,4300"
2395tm "BdLibraryNameMgr"
2396)
2397*77 (Text
2398va (VaSet
2399font "Arial,8,1"
2400)
2401xt "2200,4500,5600,5500"
2402st "<block>"
2403blo "2200,5300"
2404tm "BlkNameMgr"
2405)
2406*78 (Text
2407va (VaSet
2408font "Arial,8,1"
2409)
2410xt "2200,5500,4000,6500"
2411st "U_0"
2412blo "2200,6300"
2413tm "InstanceNameMgr"
2414)
2415]
2416)
2417ga (GenericAssociation
2418ps "EdgeToEdgeStrategy"
2419matrix (Matrix
2420text (MLText
2421va (VaSet
2422font "Courier New,8,0"
2423)
2424xt "2200,13500,2200,13500"
2425)
2426header ""
2427)
2428elements [
2429]
2430)
2431viewicon (ZoomableIcon
2432sl 0
2433va (VaSet
2434vasetType 1
2435fg "49152,49152,49152"
2436)
2437xt "0,0,1500,1500"
2438iconName "UnknownFile.png"
2439iconMaskName "UnknownFile.msk"
2440)
2441viewiconposition 0
2442)
2443defaultMWComponent (MWC
2444shape (Rectangle
2445va (VaSet
2446vasetType 1
2447fg "0,65535,0"
2448lineColor "0,32896,0"
2449lineWidth 2
2450)
2451xt "0,0,8000,10000"
2452)
2453ttg (MlTextGroup
2454ps "CenterOffsetStrategy"
2455stg "VerticalLayoutStrategy"
2456textVec [
2457*79 (Text
2458va (VaSet
2459font "Arial,8,1"
2460)
2461xt "550,3500,3450,4500"
2462st "Library"
2463blo "550,4300"
2464)
2465*80 (Text
2466va (VaSet
2467font "Arial,8,1"
2468)
2469xt "550,4500,7450,5500"
2470st "MWComponent"
2471blo "550,5300"
2472)
2473*81 (Text
2474va (VaSet
2475font "Arial,8,1"
2476)
2477xt "550,5500,2350,6500"
2478st "U_0"
2479blo "550,6300"
2480tm "InstanceNameMgr"
2481)
2482]
2483)
2484ga (GenericAssociation
2485ps "EdgeToEdgeStrategy"
2486matrix (Matrix
2487text (MLText
2488va (VaSet
2489font "Courier New,8,0"
2490)
2491xt "-6450,1500,-6450,1500"
2492)
2493header ""
2494)
2495elements [
2496]
2497)
2498portVis (PortSigDisplay
2499)
2500prms (Property
2501pclass "params"
2502pname "params"
2503ptn "String"
2504)
2505visOptions (mwParamsVisibilityOptions
2506)
2507)
2508defaultSaComponent (SaComponent
2509shape (Rectangle
2510va (VaSet
2511vasetType 1
2512fg "0,65535,0"
2513lineColor "0,32896,0"
2514lineWidth 2
2515)
2516xt "0,0,8000,10000"
2517)
2518ttg (MlTextGroup
2519ps "CenterOffsetStrategy"
2520stg "VerticalLayoutStrategy"
2521textVec [
2522*82 (Text
2523va (VaSet
2524font "Arial,8,1"
2525)
2526xt "900,3500,3800,4500"
2527st "Library"
2528blo "900,4300"
2529tm "BdLibraryNameMgr"
2530)
2531*83 (Text
2532va (VaSet
2533font "Arial,8,1"
2534)
2535xt "900,4500,7100,5500"
2536st "SaComponent"
2537blo "900,5300"
2538tm "CptNameMgr"
2539)
2540*84 (Text
2541va (VaSet
2542font "Arial,8,1"
2543)
2544xt "900,5500,2700,6500"
2545st "U_0"
2546blo "900,6300"
2547tm "InstanceNameMgr"
2548)
2549]
2550)
2551ga (GenericAssociation
2552ps "EdgeToEdgeStrategy"
2553matrix (Matrix
2554text (MLText
2555va (VaSet
2556font "Courier New,8,0"
2557)
2558xt "-6100,1500,-6100,1500"
2559)
2560header ""
2561)
2562elements [
2563]
2564)
2565viewicon (ZoomableIcon
2566sl 0
2567va (VaSet
2568vasetType 1
2569fg "49152,49152,49152"
2570)
2571xt "0,0,1500,1500"
2572iconName "UnknownFile.png"
2573iconMaskName "UnknownFile.msk"
2574)
2575viewiconposition 0
2576portVis (PortSigDisplay
2577)
2578archFileType "UNKNOWN"
2579)
2580defaultVhdlComponent (VhdlComponent
2581shape (Rectangle
2582va (VaSet
2583vasetType 1
2584fg "0,65535,0"
2585lineColor "0,32896,0"
2586lineWidth 2
2587)
2588xt "0,0,8000,10000"
2589)
2590ttg (MlTextGroup
2591ps "CenterOffsetStrategy"
2592stg "VerticalLayoutStrategy"
2593textVec [
2594*85 (Text
2595va (VaSet
2596font "Arial,8,1"
2597)
2598xt "500,3500,3400,4500"
2599st "Library"
2600blo "500,4300"
2601)
2602*86 (Text
2603va (VaSet
2604font "Arial,8,1"
2605)
2606xt "500,4500,7500,5500"
2607st "VhdlComponent"
2608blo "500,5300"
2609)
2610*87 (Text
2611va (VaSet
2612font "Arial,8,1"
2613)
2614xt "500,5500,2300,6500"
2615st "U_0"
2616blo "500,6300"
2617tm "InstanceNameMgr"
2618)
2619]
2620)
2621ga (GenericAssociation
2622ps "EdgeToEdgeStrategy"
2623matrix (Matrix
2624text (MLText
2625va (VaSet
2626font "Courier New,8,0"
2627)
2628xt "-6500,1500,-6500,1500"
2629)
2630header ""
2631)
2632elements [
2633]
2634)
2635portVis (PortSigDisplay
2636)
2637entityPath ""
2638archName ""
2639archPath ""
2640)
2641defaultVerilogComponent (VerilogComponent
2642shape (Rectangle
2643va (VaSet
2644vasetType 1
2645fg "0,65535,0"
2646lineColor "0,32896,0"
2647lineWidth 2
2648)
2649xt "-450,0,8450,10000"
2650)
2651ttg (MlTextGroup
2652ps "CenterOffsetStrategy"
2653stg "VerticalLayoutStrategy"
2654textVec [
2655*88 (Text
2656va (VaSet
2657font "Arial,8,1"
2658)
2659xt "50,3500,2950,4500"
2660st "Library"
2661blo "50,4300"
2662)
2663*89 (Text
2664va (VaSet
2665font "Arial,8,1"
2666)
2667xt "50,4500,7950,5500"
2668st "VerilogComponent"
2669blo "50,5300"
2670)
2671*90 (Text
2672va (VaSet
2673font "Arial,8,1"
2674)
2675xt "50,5500,1850,6500"
2676st "U_0"
2677blo "50,6300"
2678tm "InstanceNameMgr"
2679)
2680]
2681)
2682ga (GenericAssociation
2683ps "EdgeToEdgeStrategy"
2684matrix (Matrix
2685text (MLText
2686va (VaSet
2687font "Courier New,8,0"
2688)
2689xt "-6950,1500,-6950,1500"
2690)
2691header ""
2692)
2693elements [
2694]
2695)
2696entityPath ""
2697)
2698defaultHdlText (HdlText
2699shape (Rectangle
2700va (VaSet
2701vasetType 1
2702fg "65535,65535,37120"
2703lineColor "0,0,32768"
2704lineWidth 2
2705)
2706xt "0,0,8000,10000"
2707)
2708ttg (MlTextGroup
2709ps "CenterOffsetStrategy"
2710stg "VerticalLayoutStrategy"
2711textVec [
2712*91 (Text
2713va (VaSet
2714font "Arial,8,1"
2715)
2716xt "3150,4000,4850,5000"
2717st "eb1"
2718blo "3150,4800"
2719tm "HdlTextNameMgr"
2720)
2721*92 (Text
2722va (VaSet
2723font "Arial,8,1"
2724)
2725xt "3150,5000,3950,6000"
2726st "1"
2727blo "3150,5800"
2728tm "HdlTextNumberMgr"
2729)
2730]
2731)
2732viewicon (ZoomableIcon
2733sl 0
2734va (VaSet
2735vasetType 1
2736fg "49152,49152,49152"
2737)
2738xt "0,0,1500,1500"
2739iconName "UnknownFile.png"
2740iconMaskName "UnknownFile.msk"
2741)
2742viewiconposition 0
2743)
2744defaultEmbeddedText (EmbeddedText
2745commentText (CommentText
2746ps "CenterOffsetStrategy"
2747shape (Rectangle
2748va (VaSet
2749vasetType 1
2750fg "65535,65535,65535"
2751lineColor "0,0,32768"
2752lineWidth 2
2753)
2754xt "0,0,18000,5000"
2755)
2756text (MLText
2757va (VaSet
2758)
2759xt "200,200,2000,1200"
2760st "
2761Text
2762"
2763tm "HdlTextMgr"
2764wrapOption 3
2765visibleHeight 4600
2766visibleWidth 17600
2767)
2768)
2769)
2770defaultGlobalConnector (GlobalConnector
2771shape (Circle
2772va (VaSet
2773vasetType 1
2774fg "65535,65535,0"
2775)
2776xt "-1000,-1000,1000,1000"
2777radius 1000
2778)
2779name (Text
2780va (VaSet
2781font "Arial,8,1"
2782)
2783xt "-500,-500,500,500"
2784st "G"
2785blo "-500,300"
2786)
2787)
2788defaultRipper (Ripper
2789ps "OnConnectorStrategy"
2790shape (Line2D
2791pts [
2792"0,0"
2793"1000,1000"
2794]
2795va (VaSet
2796vasetType 1
2797)
2798xt "0,0,1000,1000"
2799)
2800)
2801defaultBdJunction (BdJunction
2802ps "OnConnectorStrategy"
2803shape (Circle
2804va (VaSet
2805vasetType 1
2806)
2807xt "-400,-400,400,400"
2808radius 400
2809)
2810)
2811defaultPortIoIn (PortIoIn
2812shape (CompositeShape
2813va (VaSet
2814vasetType 1
2815fg "0,0,32768"
2816)
2817optionalChildren [
2818(Pentagon
2819sl 0
2820ro 270
2821xt "-2000,-375,-500,375"
2822)
2823(Line
2824sl 0
2825ro 270
2826xt "-500,0,0,0"
2827pts [
2828"-500,0"
2829"0,0"
2830]
2831)
2832]
2833)
2834stc 0
2835sf 1
2836tg (WTG
2837ps "PortIoTextPlaceStrategy"
2838stg "STSignalDisplayStrategy"
2839f (Text
2840va (VaSet
2841)
2842xt "-1375,-1000,-1375,-1000"
2843ju 2
2844blo "-1375,-1000"
2845tm "WireNameMgr"
2846)
2847)
2848)
2849defaultPortIoOut (PortIoOut
2850shape (CompositeShape
2851va (VaSet
2852vasetType 1
2853fg "0,0,32768"
2854)
2855optionalChildren [
2856(Pentagon
2857sl 0
2858ro 270
2859xt "500,-375,2000,375"
2860)
2861(Line
2862sl 0
2863ro 270
2864xt "0,0,500,0"
2865pts [
2866"0,0"
2867"500,0"
2868]
2869)
2870]
2871)
2872stc 0
2873sf 1
2874tg (WTG
2875ps "PortIoTextPlaceStrategy"
2876stg "STSignalDisplayStrategy"
2877f (Text
2878va (VaSet
2879)
2880xt "625,-1000,625,-1000"
2881blo "625,-1000"
2882tm "WireNameMgr"
2883)
2884)
2885)
2886defaultPortIoInOut (PortIoInOut
2887shape (CompositeShape
2888va (VaSet
2889vasetType 1
2890fg "0,0,32768"
2891)
2892optionalChildren [
2893(Hexagon
2894sl 0
2895xt "500,-375,2000,375"
2896)
2897(Line
2898sl 0
2899xt "0,0,500,0"
2900pts [
2901"0,0"
2902"500,0"
2903]
2904)
2905]
2906)
2907stc 0
2908sf 1
2909tg (WTG
2910ps "PortIoTextPlaceStrategy"
2911stg "STSignalDisplayStrategy"
2912f (Text
2913va (VaSet
2914)
2915xt "0,-375,0,-375"
2916blo "0,-375"
2917tm "WireNameMgr"
2918)
2919)
2920)
2921defaultPortIoBuffer (PortIoBuffer
2922shape (CompositeShape
2923va (VaSet
2924vasetType 1
2925fg "65535,65535,65535"
2926lineColor "0,0,32768"
2927)
2928optionalChildren [
2929(Hexagon
2930sl 0
2931xt "500,-375,2000,375"
2932)
2933(Line
2934sl 0
2935xt "0,0,500,0"
2936pts [
2937"0,0"
2938"500,0"
2939]
2940)
2941]
2942)
2943stc 0
2944sf 1
2945tg (WTG
2946ps "PortIoTextPlaceStrategy"
2947stg "STSignalDisplayStrategy"
2948f (Text
2949va (VaSet
2950)
2951xt "0,-375,0,-375"
2952blo "0,-375"
2953tm "WireNameMgr"
2954)
2955)
2956)
2957defaultSignal (Wire
2958shape (OrthoPolyLine
2959va (VaSet
2960vasetType 3
2961)
2962pts [
2963"0,0"
2964"0,0"
2965]
2966)
2967ss 0
2968es 0
2969sat 32
2970eat 32
2971st 0
2972sf 1
2973si 0
2974tg (WTG
2975ps "ConnStartEndStrategy"
2976stg "STSignalDisplayStrategy"
2977f (Text
2978va (VaSet
2979)
2980xt "0,0,1900,1000"
2981st "sig0"
2982blo "0,800"
2983tm "WireNameMgr"
2984)
2985)
2986)
2987defaultBus (Wire
2988shape (OrthoPolyLine
2989va (VaSet
2990vasetType 3
2991lineWidth 2
2992)
2993pts [
2994"0,0"
2995"0,0"
2996]
2997)
2998ss 0
2999es 0
3000sat 32
3001eat 32
3002sty 1
3003st 0
3004sf 1
3005si 0
3006tg (WTG
3007ps "ConnStartEndStrategy"
3008stg "STSignalDisplayStrategy"
3009f (Text
3010va (VaSet
3011)
3012xt "0,0,2400,1000"
3013st "dbus0"
3014blo "0,800"
3015tm "WireNameMgr"
3016)
3017)
3018)
3019defaultBundle (Bundle
3020shape (OrthoPolyLine
3021va (VaSet
3022vasetType 3
3023lineColor "32768,0,0"
3024lineWidth 2
3025)
3026pts [
3027"0,0"
3028"0,0"
3029]
3030)
3031ss 0
3032es 0
3033sat 32
3034eat 32
3035textGroup (BiTextGroup
3036ps "ConnStartEndStrategy"
3037stg "VerticalLayoutStrategy"
3038first (Text
3039va (VaSet
3040)
3041xt "0,0,3000,1000"
3042st "bundle0"
3043blo "0,800"
3044tm "BundleNameMgr"
3045)
3046second (MLText
3047va (VaSet
3048)
3049xt "0,1000,1000,2000"
3050st "()"
3051tm "BundleContentsMgr"
3052)
3053)
3054bundleNet &0
3055)
3056defaultPortMapFrame (PortMapFrame
3057ps "PortMapFrameStrategy"
3058shape (RectFrame
3059va (VaSet
3060vasetType 1
3061fg "65535,65535,65535"
3062lineColor "0,0,32768"
3063lineWidth 2
3064)
3065xt "0,0,10000,12000"
3066)
3067portMapText (BiTextGroup
3068ps "BottomRightOffsetStrategy"
3069stg "VerticalLayoutStrategy"
3070first (MLText
3071va (VaSet
3072)
3073)
3074second (MLText
3075va (VaSet
3076)
3077tm "PortMapTextMgr"
3078)
3079)
3080)
3081defaultGenFrame (Frame
3082shape (RectFrame
3083va (VaSet
3084vasetType 1
3085fg "65535,65535,65535"
3086lineColor "26368,26368,26368"
3087lineStyle 2
3088lineWidth 3
3089)
3090xt "0,0,20000,20000"
3091)
3092title (TextAssociate
3093ps "TopLeftStrategy"
3094text (MLText
3095va (VaSet
3096)
3097xt "0,-1100,12600,-100"
3098st "g0: FOR i IN 0 TO n GENERATE"
3099tm "FrameTitleTextMgr"
3100)
3101)
3102seqNum (FrameSequenceNumber
3103ps "TopLeftStrategy"
3104shape (Rectangle
3105va (VaSet
3106vasetType 1
3107fg "65535,65535,65535"
3108)
3109xt "50,50,1250,1450"
3110)
3111num (Text
3112va (VaSet
3113)
3114xt "250,250,1050,1250"
3115st "1"
3116blo "250,1050"
3117tm "FrameSeqNumMgr"
3118)
3119)
3120decls (MlTextGroup
3121ps "BottomRightOffsetStrategy"
3122stg "VerticalLayoutStrategy"
3123textVec [
3124*93 (Text
3125va (VaSet
3126font "Arial,8,1"
3127)
3128xt "14100,20000,22000,21000"
3129st "Frame Declarations"
3130blo "14100,20800"
3131)
3132*94 (MLText
3133va (VaSet
3134)
3135xt "14100,21000,14100,21000"
3136tm "BdFrameDeclTextMgr"
3137)
3138]
3139)
3140)
3141defaultBlockFrame (Frame
3142shape (RectFrame
3143va (VaSet
3144vasetType 1
3145fg "65535,65535,65535"
3146lineColor "26368,26368,26368"
3147lineStyle 1
3148lineWidth 3
3149)
3150xt "0,0,20000,20000"
3151)
3152title (TextAssociate
3153ps "TopLeftStrategy"
3154text (MLText
3155va (VaSet
3156)
3157xt "0,-1100,7400,-100"
3158st "b0: BLOCK (guard)"
3159tm "FrameTitleTextMgr"
3160)
3161)
3162seqNum (FrameSequenceNumber
3163ps "TopLeftStrategy"
3164shape (Rectangle
3165va (VaSet
3166vasetType 1
3167fg "65535,65535,65535"
3168)
3169xt "50,50,1250,1450"
3170)
3171num (Text
3172va (VaSet
3173)
3174xt "250,250,1050,1250"
3175st "1"
3176blo "250,1050"
3177tm "FrameSeqNumMgr"
3178)
3179)
3180decls (MlTextGroup
3181ps "BottomRightOffsetStrategy"
3182stg "VerticalLayoutStrategy"
3183textVec [
3184*95 (Text
3185va (VaSet
3186font "Arial,8,1"
3187)
3188xt "14100,20000,22000,21000"
3189st "Frame Declarations"
3190blo "14100,20800"
3191)
3192*96 (MLText
3193va (VaSet
3194)
3195xt "14100,21000,14100,21000"
3196tm "BdFrameDeclTextMgr"
3197)
3198]
3199)
3200style 3
3201)
3202defaultSaCptPort (CptPort
3203ps "OnEdgeStrategy"
3204shape (Triangle
3205ro 90
3206va (VaSet
3207vasetType 1
3208fg "0,65535,0"
3209)
3210xt "0,0,750,750"
3211)
3212tg (CPTG
3213ps "CptPortTextPlaceStrategy"
3214stg "VerticalLayoutStrategy"
3215f (Text
3216va (VaSet
3217)
3218xt "0,750,1800,1750"
3219st "Port"
3220blo "0,1550"
3221)
3222)
3223thePort (LogicalPort
3224decl (Decl
3225n "Port"
3226t ""
3227o 0
3228)
3229)
3230)
3231defaultSaCptPortBuffer (CptPort
3232ps "OnEdgeStrategy"
3233shape (Diamond
3234va (VaSet
3235vasetType 1
3236fg "65535,65535,65535"
3237)
3238xt "0,0,750,750"
3239)
3240tg (CPTG
3241ps "CptPortTextPlaceStrategy"
3242stg "VerticalLayoutStrategy"
3243f (Text
3244va (VaSet
3245)
3246xt "0,750,1800,1750"
3247st "Port"
3248blo "0,1550"
3249)
3250)
3251thePort (LogicalPort
3252m 3
3253decl (Decl
3254n "Port"
3255t ""
3256o 0
3257)
3258)
3259)
3260defaultDeclText (MLText
3261va (VaSet
3262font "Courier New,8,0"
3263)
3264)
3265archDeclarativeBlock (BdArchDeclBlock
3266uid 1,0
3267stg "BdArchDeclBlockLS"
3268declLabel (Text
3269uid 2,0
3270va (VaSet
3271font "Arial,8,1"
3272)
3273xt "20000,0,25400,1000"
3274st "Declarations"
3275blo "20000,800"
3276)
3277portLabel (Text
3278uid 3,0
3279va (VaSet
3280font "Arial,8,1"
3281)
3282xt "20000,1000,22700,2000"
3283st "Ports:"
3284blo "20000,1800"
3285)
3286preUserLabel (Text
3287uid 4,0
3288va (VaSet
3289isHidden 1
3290font "Arial,8,1"
3291)
3292xt "20000,0,23800,1000"
3293st "Pre User:"
3294blo "20000,800"
3295)
3296preUserText (MLText
3297uid 5,0
3298va (VaSet
3299isHidden 1
3300font "Courier New,8,0"
3301)
3302xt "20000,0,20000,0"
3303tm "BdDeclarativeTextMgr"
3304)
3305diagSignalLabel (Text
3306uid 6,0
3307va (VaSet
3308font "Arial,8,1"
3309)
3310xt "20000,2000,27100,3000"
3311st "Diagram Signals:"
3312blo "20000,2800"
3313)
3314postUserLabel (Text
3315uid 7,0
3316va (VaSet
3317isHidden 1
3318font "Arial,8,1"
3319)
3320xt "20000,0,24700,1000"
3321st "Post User:"
3322blo "20000,800"
3323)
3324postUserText (MLText
3325uid 8,0
3326va (VaSet
3327isHidden 1
3328font "Courier New,8,0"
3329)
3330xt "20000,0,20000,0"
3331tm "BdDeclarativeTextMgr"
3332)
3333)
3334commonDM (CommonDM
3335ldm (LogicalDM
3336suid 10,0
3337usingSuid 1
3338emptyRow *97 (LEmptyRow
3339)
3340uid 298,0
3341optionalChildren [
3342*98 (RefLabelRowHdr
3343)
3344*99 (TitleRowHdr
3345)
3346*100 (FilterRowHdr
3347)
3348*101 (RefLabelColHdr
3349tm "RefLabelColHdrMgr"
3350)
3351*102 (RowExpandColHdr
3352tm "RowExpandColHdrMgr"
3353)
3354*103 (GroupColHdr
3355tm "GroupColHdrMgr"
3356)
3357*104 (NameColHdr
3358tm "BlockDiagramNameColHdrMgr"
3359)
3360*105 (ModeColHdr
3361tm "BlockDiagramModeColHdrMgr"
3362)
3363*106 (TypeColHdr
3364tm "BlockDiagramTypeColHdrMgr"
3365)
3366*107 (BoundsColHdr
3367tm "BlockDiagramBoundsColHdrMgr"
3368)
3369*108 (InitColHdr
3370tm "BlockDiagramInitColHdrMgr"
3371)
3372*109 (EolColHdr
3373tm "BlockDiagramEolColHdrMgr"
3374)
3375*110 (LeafLogPort
3376port (LogicalPort
3377m 4
3378decl (Decl
3379n "green"
3380t "std_logic"
3381o 1
3382suid 1,0
3383)
3384)
3385uid 265,0
3386)
3387*111 (LeafLogPort
3388port (LogicalPort
3389m 4
3390decl (Decl
3391n "amber"
3392t "std_logic"
3393o 2
3394suid 2,0
3395)
3396)
3397uid 267,0
3398)
3399*112 (LeafLogPort
3400port (LogicalPort
3401m 4
3402decl (Decl
3403n "red"
3404t "std_logic"
3405o 3
3406suid 3,0
3407)
3408)
3409uid 269,0
3410)
3411*113 (LeafLogPort
3412port (LogicalPort
3413m 4
3414decl (Decl
3415n "additional_flasher_out"
3416t "std_logic"
3417o 4
3418suid 4,0
3419)
3420)
3421uid 271,0
3422)
3423*114 (LeafLogPort
3424port (LogicalPort
3425m 4
3426decl (Decl
3427n "CLK"
3428t "std_logic"
3429o 5
3430suid 5,0
3431)
3432)
3433uid 273,0
3434)
3435*115 (LeafLogPort
3436port (LogicalPort
3437m 4
3438decl (Decl
3439n "trigger"
3440t "std_logic"
3441o 6
3442suid 6,0
3443)
3444)
3445uid 275,0
3446)
3447*116 (LeafLogPort
3448port (LogicalPort
3449m 4
3450decl (Decl
3451n "refclk_too_high"
3452t "std_logic"
3453o 7
3454suid 7,0
3455)
3456)
3457uid 277,0
3458)
3459*117 (LeafLogPort
3460port (LogicalPort
3461m 4
3462decl (Decl
3463n "refclk_too_low"
3464t "std_logic"
3465o 8
3466suid 8,0
3467)
3468)
3469uid 279,0
3470)
3471*118 (LeafLogPort
3472port (LogicalPort
3473m 4
3474decl (Decl
3475n "socks_waiting"
3476t "std_logic"
3477o 9
3478suid 9,0
3479)
3480)
3481uid 281,0
3482)
3483*119 (LeafLogPort
3484port (LogicalPort
3485m 4
3486decl (Decl
3487n "socks_connected"
3488t "std_logic"
3489o 10
3490suid 10,0
3491)
3492)
3493uid 283,0
3494)
3495]
3496)
3497pdm (PhysicalDM
3498displayShortBounds 1
3499editShortBounds 1
3500uid 311,0
3501optionalChildren [
3502*120 (Sheet
3503sheetRow (SheetRow
3504headerVa (MVa
3505cellColor "49152,49152,49152"
3506fontColor "0,0,0"
3507font "Tahoma,10,0"
3508)
3509cellVa (MVa
3510cellColor "65535,65535,65535"
3511fontColor "0,0,0"
3512font "Tahoma,10,0"
3513)
3514groupVa (MVa
3515cellColor "39936,56832,65280"
3516fontColor "0,0,0"
3517font "Tahoma,10,0"
3518)
3519emptyMRCItem *121 (MRCItem
3520litem &97
3521pos 10
3522dimension 20
3523)
3524uid 313,0
3525optionalChildren [
3526*122 (MRCItem
3527litem &98
3528pos 0
3529dimension 20
3530uid 314,0
3531)
3532*123 (MRCItem
3533litem &99
3534pos 1
3535dimension 23
3536uid 315,0
3537)
3538*124 (MRCItem
3539litem &100
3540pos 2
3541hidden 1
3542dimension 20
3543uid 316,0
3544)
3545*125 (MRCItem
3546litem &110
3547pos 0
3548dimension 20
3549uid 266,0
3550)
3551*126 (MRCItem
3552litem &111
3553pos 1
3554dimension 20
3555uid 268,0
3556)
3557*127 (MRCItem
3558litem &112
3559pos 2
3560dimension 20
3561uid 270,0
3562)
3563*128 (MRCItem
3564litem &113
3565pos 3
3566dimension 20
3567uid 272,0
3568)
3569*129 (MRCItem
3570litem &114
3571pos 4
3572dimension 20
3573uid 274,0
3574)
3575*130 (MRCItem
3576litem &115
3577pos 5
3578dimension 20
3579uid 276,0
3580)
3581*131 (MRCItem
3582litem &116
3583pos 6
3584dimension 20
3585uid 278,0
3586)
3587*132 (MRCItem
3588litem &117
3589pos 7
3590dimension 20
3591uid 280,0
3592)
3593*133 (MRCItem
3594litem &118
3595pos 8
3596dimension 20
3597uid 282,0
3598)
3599*134 (MRCItem
3600litem &119
3601pos 9
3602dimension 20
3603uid 284,0
3604)
3605]
3606)
3607sheetCol (SheetCol
3608propVa (MVa
3609cellColor "0,49152,49152"
3610fontColor "0,0,0"
3611font "Tahoma,10,0"
3612textAngle 90
3613)
3614uid 317,0
3615optionalChildren [
3616*135 (MRCItem
3617litem &101
3618pos 0
3619dimension 20
3620uid 318,0
3621)
3622*136 (MRCItem
3623litem &103
3624pos 1
3625dimension 50
3626uid 319,0
3627)
3628*137 (MRCItem
3629litem &104
3630pos 2
3631dimension 100
3632uid 320,0
3633)
3634*138 (MRCItem
3635litem &105
3636pos 3
3637dimension 50
3638uid 321,0
3639)
3640*139 (MRCItem
3641litem &106
3642pos 4
3643dimension 100
3644uid 322,0
3645)
3646*140 (MRCItem
3647litem &107
3648pos 5
3649dimension 100
3650uid 323,0
3651)
3652*141 (MRCItem
3653litem &108
3654pos 6
3655dimension 50
3656uid 324,0
3657)
3658*142 (MRCItem
3659litem &109
3660pos 7
3661dimension 80
3662uid 325,0
3663)
3664]
3665)
3666fixedCol 4
3667fixedRow 2
3668name "Ports"
3669uid 312,0
3670vaOverrides [
3671]
3672)
3673]
3674)
3675uid 297,0
3676)
3677genericsCommonDM (CommonDM
3678ldm (LogicalDM
3679emptyRow *143 (LEmptyRow
3680)
3681uid 327,0
3682optionalChildren [
3683*144 (RefLabelRowHdr
3684)
3685*145 (TitleRowHdr
3686)
3687*146 (FilterRowHdr
3688)
3689*147 (RefLabelColHdr
3690tm "RefLabelColHdrMgr"
3691)
3692*148 (RowExpandColHdr
3693tm "RowExpandColHdrMgr"
3694)
3695*149 (GroupColHdr
3696tm "GroupColHdrMgr"
3697)
3698*150 (NameColHdr
3699tm "GenericNameColHdrMgr"
3700)
3701*151 (TypeColHdr
3702tm "GenericTypeColHdrMgr"
3703)
3704*152 (InitColHdr
3705tm "GenericValueColHdrMgr"
3706)
3707*153 (PragmaColHdr
3708tm "GenericPragmaColHdrMgr"
3709)
3710*154 (EolColHdr
3711tm "GenericEolColHdrMgr"
3712)
3713*155 (LogGeneric
3714generic (GiElement
3715name "HEARTBEAT_PWM_DIVIDER"
3716type "integer"
3717value "500"
3718)
3719uid 9,0
3720)
3721*156 (LogGeneric
3722generic (GiElement
3723name "WAITING_DIVIDER"
3724type "integer"
3725value "500000000"
3726)
3727uid 11,0
3728)
3729]
3730)
3731pdm (PhysicalDM
3732displayShortBounds 1
3733editShortBounds 1
3734uid 339,0
3735optionalChildren [
3736*157 (Sheet
3737sheetRow (SheetRow
3738headerVa (MVa
3739cellColor "49152,49152,49152"
3740fontColor "0,0,0"
3741font "Tahoma,10,0"
3742)
3743cellVa (MVa
3744cellColor "65535,65535,65535"
3745fontColor "0,0,0"
3746font "Tahoma,10,0"
3747)
3748groupVa (MVa
3749cellColor "39936,56832,65280"
3750fontColor "0,0,0"
3751font "Tahoma,10,0"
3752)
3753emptyMRCItem *158 (MRCItem
3754litem &143
3755pos 2
3756dimension 20
3757)
3758uid 341,0
3759optionalChildren [
3760*159 (MRCItem
3761litem &144
3762pos 0
3763dimension 20
3764uid 342,0
3765)
3766*160 (MRCItem
3767litem &145
3768pos 1
3769dimension 23
3770uid 343,0
3771)
3772*161 (MRCItem
3773litem &146
3774pos 2
3775hidden 1
3776dimension 20
3777uid 344,0
3778)
3779*162 (MRCItem
3780litem &155
3781pos 0
3782dimension 20
3783uid 10,0
3784)
3785*163 (MRCItem
3786litem &156
3787pos 1
3788dimension 20
3789uid 12,0
3790)
3791]
3792)
3793sheetCol (SheetCol
3794propVa (MVa
3795cellColor "0,49152,49152"
3796fontColor "0,0,0"
3797font "Tahoma,10,0"
3798textAngle 90
3799)
3800uid 345,0
3801optionalChildren [
3802*164 (MRCItem
3803litem &147
3804pos 0
3805dimension 20
3806uid 346,0
3807)
3808*165 (MRCItem
3809litem &149
3810pos 1
3811dimension 50
3812uid 347,0
3813)
3814*166 (MRCItem
3815litem &150
3816pos 2
3817dimension 100
3818uid 348,0
3819)
3820*167 (MRCItem
3821litem &151
3822pos 3
3823dimension 100
3824uid 349,0
3825)
3826*168 (MRCItem
3827litem &152
3828pos 4
3829dimension 50
3830uid 350,0
3831)
3832*169 (MRCItem
3833litem &153
3834pos 5
3835dimension 50
3836uid 351,0
3837)
3838*170 (MRCItem
3839litem &154
3840pos 6
3841dimension 80
3842uid 352,0
3843)
3844]
3845)
3846fixedCol 3
3847fixedRow 2
3848name "Ports"
3849uid 340,0
3850vaOverrides [
3851]
3852)
3853]
3854)
3855uid 326,0
3856type 1
3857)
3858activeModelName "BlockDiag"
3859)
Note: See TracBrowser for help on using the repository browser.