source: firmware/FAD/FACT_FAD_TB_lib/hds/led_controller_tb/struct.bd.bak@ 12105

Last change on this file since 12105 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 49.6 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "ieee"
12unitName "std_logic_arith"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "STD_LOGIC_UNSIGNED"
18itemName "ALL"
19)
20(DmPackageRef
21library "FACT_FAD_lib"
22unitName "fad_definitions"
23itemName "ALL"
24)
25]
26instances [
27(Instance
28name "U_0"
29duLibraryName "FACT_FAD_lib"
30duName "led_controller"
31elements [
32(GiElement
33name "HEARTBEAT_PWM_DIVIDER"
34type "integer"
35value "500"
36)
37(GiElement
38name "WAITING_DIVIDER"
39type "integer"
40value "500000000"
41)
42]
43mwi 0
44uid 53,0
45)
46(Instance
47name "U_1"
48duLibraryName "FACT_FAD_TB_lib"
49duName "led_controller_tester"
50elements [
51]
52mwi 0
53uid 143,0
54)
55(Instance
56name "U_2"
57duLibraryName "FACT_FAD_TB_lib"
58duName "clock_generator"
59elements [
60(GiElement
61name "clock_period"
62type "time"
63value "20 ns"
64)
65(GiElement
66name "reset_time"
67type "time"
68value "50 ns"
69)
70]
71mwi 0
72uid 424,0
73)
74]
75libraryRefs [
76"ieee"
77"FACT_FAD_lib"
78]
79)
80version "29.1"
81appVersion "2009.1 (Build 12)"
82noEmbeddedEditors 1
83model (BlockDiag
84VExpander (VariableExpander
85vvMap [
86(vvPair
87variable "HDLDir"
88value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
89)
90(vvPair
91variable "HDSDir"
92value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
93)
94(vvPair
95variable "SideDataDesignDir"
96value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb\\struct.bd.info"
97)
98(vvPair
99variable "SideDataUserDir"
100value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb\\struct.bd.user"
101)
102(vvPair
103variable "SourceDir"
104value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
105)
106(vvPair
107variable "appl"
108value "HDL Designer"
109)
110(vvPair
111variable "arch_name"
112value "struct"
113)
114(vvPair
115variable "config"
116value "%(unit)_%(view)_config"
117)
118(vvPair
119variable "d"
120value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb"
121)
122(vvPair
123variable "d_logical"
124value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb"
125)
126(vvPair
127variable "date"
128value "02.03.2011"
129)
130(vvPair
131variable "day"
132value "Mi"
133)
134(vvPair
135variable "day_long"
136value "Mittwoch"
137)
138(vvPair
139variable "dd"
140value "02"
141)
142(vvPair
143variable "entity_name"
144value "led_controller_tb"
145)
146(vvPair
147variable "ext"
148value "<TBD>"
149)
150(vvPair
151variable "f"
152value "struct.bd"
153)
154(vvPair
155variable "f_logical"
156value "struct.bd"
157)
158(vvPair
159variable "f_noext"
160value "struct"
161)
162(vvPair
163variable "group"
164value "UNKNOWN"
165)
166(vvPair
167variable "host"
168value "IHP110"
169)
170(vvPair
171variable "language"
172value "VHDL"
173)
174(vvPair
175variable "library"
176value "FACT_FAD_TB_lib"
177)
178(vvPair
179variable "library_downstream_HdsLintPlugin"
180value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
181)
182(vvPair
183variable "library_downstream_ISEPARInvoke"
184value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
185)
186(vvPair
187variable "library_downstream_ImpactInvoke"
188value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
189)
190(vvPair
191variable "library_downstream_ModelSimCompiler"
192value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
193)
194(vvPair
195variable "library_downstream_XSTDataPrep"
196value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
197)
198(vvPair
199variable "mm"
200value "03"
201)
202(vvPair
203variable "module_name"
204value "led_controller_tb"
205)
206(vvPair
207variable "month"
208value "Mrz"
209)
210(vvPair
211variable "month_long"
212value "März"
213)
214(vvPair
215variable "p"
216value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb\\struct.bd"
217)
218(vvPair
219variable "p_logical"
220value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb\\struct.bd"
221)
222(vvPair
223variable "package_name"
224value "<Undefined Variable>"
225)
226(vvPair
227variable "project_name"
228value "FACT_FAD"
229)
230(vvPair
231variable "series"
232value "HDL Designer Series"
233)
234(vvPair
235variable "task_DesignCompilerPath"
236value "<TBD>"
237)
238(vvPair
239variable "task_LeonardoPath"
240value "<TBD>"
241)
242(vvPair
243variable "task_ModelSimPath"
244value "D:\\modeltech_6.5e\\win32"
245)
246(vvPair
247variable "task_NC-SimPath"
248value "<TBD>"
249)
250(vvPair
251variable "task_PrecisionRTLPath"
252value "<TBD>"
253)
254(vvPair
255variable "task_QuestaSimPath"
256value "<TBD>"
257)
258(vvPair
259variable "task_VCSPath"
260value "<TBD>"
261)
262(vvPair
263variable "this_ext"
264value "bd"
265)
266(vvPair
267variable "this_file"
268value "struct"
269)
270(vvPair
271variable "this_file_logical"
272value "struct"
273)
274(vvPair
275variable "time"
276value "09:54:46"
277)
278(vvPair
279variable "unit"
280value "led_controller_tb"
281)
282(vvPair
283variable "user"
284value "daqct3"
285)
286(vvPair
287variable "version"
288value "2009.1 (Build 12)"
289)
290(vvPair
291variable "view"
292value "struct"
293)
294(vvPair
295variable "year"
296value "2011"
297)
298(vvPair
299variable "yy"
300value "11"
301)
302]
303)
304LanguageMgr "VhdlLangMgr"
305uid 296,0
306optionalChildren [
307*1 (SaComponent
308uid 53,0
309optionalChildren [
310*2 (CptPort
311uid 13,0
312ps "OnEdgeStrategy"
313shape (Triangle
314uid 14,0
315ro 90
316va (VaSet
317vasetType 1
318fg "0,65535,0"
319)
320xt "-750,15625,0,16375"
321)
322tg (CPTG
323uid 15,0
324ps "CptPortTextPlaceStrategy"
325stg "VerticalLayoutStrategy"
326f (Text
327uid 16,0
328va (VaSet
329)
330xt "1000,15500,2900,16500"
331st "CLK"
332blo "1000,16300"
333)
334)
335thePort (LogicalPort
336decl (Decl
337n "CLK"
338t "std_logic"
339o 1
340)
341)
342)
343*3 (CptPort
344uid 17,0
345ps "OnEdgeStrategy"
346shape (Triangle
347uid 18,0
348ro 90
349va (VaSet
350vasetType 1
351fg "0,65535,0"
352)
353xt "18000,15625,18750,16375"
354)
355tg (CPTG
356uid 19,0
357ps "CptPortTextPlaceStrategy"
358stg "RightVerticalLayoutStrategy"
359f (Text
360uid 20,0
361va (VaSet
362)
363xt "14600,15500,17000,16500"
364st "green"
365ju 2
366blo "17000,16300"
367)
368)
369thePort (LogicalPort
370m 1
371decl (Decl
372n "green"
373t "std_logic"
374o 2
375)
376)
377)
378*4 (CptPort
379uid 21,0
380ps "OnEdgeStrategy"
381shape (Triangle
382uid 22,0
383ro 90
384va (VaSet
385vasetType 1
386fg "0,65535,0"
387)
388xt "18000,16625,18750,17375"
389)
390tg (CPTG
391uid 23,0
392ps "CptPortTextPlaceStrategy"
393stg "RightVerticalLayoutStrategy"
394f (Text
395uid 24,0
396va (VaSet
397)
398xt "14500,16500,17000,17500"
399st "amber"
400ju 2
401blo "17000,17300"
402)
403)
404thePort (LogicalPort
405m 1
406decl (Decl
407n "amber"
408t "std_logic"
409o 3
410)
411)
412)
413*5 (CptPort
414uid 25,0
415ps "OnEdgeStrategy"
416shape (Triangle
417uid 26,0
418ro 90
419va (VaSet
420vasetType 1
421fg "0,65535,0"
422)
423xt "18000,17625,18750,18375"
424)
425tg (CPTG
426uid 27,0
427ps "CptPortTextPlaceStrategy"
428stg "RightVerticalLayoutStrategy"
429f (Text
430uid 28,0
431va (VaSet
432)
433xt "15500,17500,17000,18500"
434st "red"
435ju 2
436blo "17000,18300"
437)
438)
439thePort (LogicalPort
440m 1
441decl (Decl
442n "red"
443t "std_logic"
444o 4
445)
446)
447)
448*6 (CptPort
449uid 29,0
450ps "OnEdgeStrategy"
451shape (Triangle
452uid 30,0
453ro 90
454va (VaSet
455vasetType 1
456fg "0,65535,0"
457)
458xt "18000,18625,18750,19375"
459)
460tg (CPTG
461uid 31,0
462ps "CptPortTextPlaceStrategy"
463stg "RightVerticalLayoutStrategy"
464f (Text
465uid 32,0
466va (VaSet
467)
468xt "8500,18500,17000,19500"
469st "additional_flasher_out"
470ju 2
471blo "17000,19300"
472)
473)
474thePort (LogicalPort
475m 1
476decl (Decl
477n "additional_flasher_out"
478t "std_logic"
479o 5
480)
481)
482)
483*7 (CptPort
484uid 33,0
485ps "OnEdgeStrategy"
486shape (Triangle
487uid 34,0
488ro 90
489va (VaSet
490vasetType 1
491fg "0,65535,0"
492)
493xt "-750,16625,0,17375"
494)
495tg (CPTG
496uid 35,0
497ps "CptPortTextPlaceStrategy"
498stg "VerticalLayoutStrategy"
499f (Text
500uid 36,0
501va (VaSet
502)
503xt "1000,16500,3800,17500"
504st "trigger"
505blo "1000,17300"
506)
507)
508thePort (LogicalPort
509decl (Decl
510n "trigger"
511t "std_logic"
512o 6
513)
514)
515)
516*8 (CptPort
517uid 37,0
518ps "OnEdgeStrategy"
519shape (Triangle
520uid 38,0
521ro 90
522va (VaSet
523vasetType 1
524fg "0,65535,0"
525)
526xt "-750,17625,0,18375"
527)
528tg (CPTG
529uid 39,0
530ps "CptPortTextPlaceStrategy"
531stg "VerticalLayoutStrategy"
532f (Text
533uid 40,0
534va (VaSet
535)
536xt "1000,17500,6900,18500"
537st "refclk_too_high"
538blo "1000,18300"
539)
540)
541thePort (LogicalPort
542decl (Decl
543n "refclk_too_high"
544t "std_logic"
545o 7
546)
547)
548)
549*9 (CptPort
550uid 41,0
551ps "OnEdgeStrategy"
552shape (Triangle
553uid 42,0
554ro 90
555va (VaSet
556vasetType 1
557fg "0,65535,0"
558)
559xt "-750,18625,0,19375"
560)
561tg (CPTG
562uid 43,0
563ps "CptPortTextPlaceStrategy"
564stg "VerticalLayoutStrategy"
565f (Text
566uid 44,0
567va (VaSet
568)
569xt "1000,18500,6500,19500"
570st "refclk_too_low"
571blo "1000,19300"
572)
573)
574thePort (LogicalPort
575decl (Decl
576n "refclk_too_low"
577t "std_logic"
578o 8
579)
580)
581)
582*10 (CptPort
583uid 45,0
584ps "OnEdgeStrategy"
585shape (Triangle
586uid 46,0
587ro 90
588va (VaSet
589vasetType 1
590fg "0,65535,0"
591)
592xt "-750,19625,0,20375"
593)
594tg (CPTG
595uid 47,0
596ps "CptPortTextPlaceStrategy"
597stg "VerticalLayoutStrategy"
598f (Text
599uid 48,0
600va (VaSet
601)
602xt "1000,19500,6500,20500"
603st "socks_waiting"
604blo "1000,20300"
605)
606)
607thePort (LogicalPort
608decl (Decl
609n "socks_waiting"
610t "std_logic"
611o 9
612)
613)
614)
615*11 (CptPort
616uid 49,0
617ps "OnEdgeStrategy"
618shape (Triangle
619uid 50,0
620ro 90
621va (VaSet
622vasetType 1
623fg "0,65535,0"
624)
625xt "-750,20625,0,21375"
626)
627tg (CPTG
628uid 51,0
629ps "CptPortTextPlaceStrategy"
630stg "VerticalLayoutStrategy"
631f (Text
632uid 52,0
633va (VaSet
634)
635xt "1000,20500,7500,21500"
636st "socks_connected"
637blo "1000,21300"
638)
639)
640thePort (LogicalPort
641decl (Decl
642n "socks_connected"
643t "std_logic"
644o 10
645)
646)
647)
648]
649shape (Rectangle
650uid 54,0
651va (VaSet
652vasetType 1
653fg "0,65535,0"
654lineColor "0,32896,0"
655lineWidth 2
656)
657xt "0,15000,18000,22000"
658)
659ttg (MlTextGroup
660uid 55,0
661ps "CenterOffsetStrategy"
662stg "VerticalLayoutStrategy"
663textVec [
664*12 (Text
665uid 56,0
666va (VaSet
667font "Arial,8,1"
668)
669xt "5900,22000,12100,23000"
670st "FACT_FAD_lib"
671blo "5900,22800"
672tm "BdLibraryNameMgr"
673)
674*13 (Text
675uid 57,0
676va (VaSet
677font "Arial,8,1"
678)
679xt "5900,23000,11800,24000"
680st "led_controller"
681blo "5900,23800"
682tm "CptNameMgr"
683)
684*14 (Text
685uid 58,0
686va (VaSet
687font "Arial,8,1"
688)
689xt "5900,24000,7700,25000"
690st "U_0"
691blo "5900,24800"
692tm "InstanceNameMgr"
693)
694]
695)
696ga (GenericAssociation
697uid 59,0
698ps "EdgeToEdgeStrategy"
699matrix (Matrix
700uid 60,0
701text (MLText
702uid 61,0
703va (VaSet
704font "Courier New,8,0"
705)
706xt "0,13400,27000,15000"
707st "HEARTBEAT_PWM_DIVIDER = 500 ( integer )
708WAITING_DIVIDER = 500000000 ( integer ) "
709)
710header ""
711)
712elements [
713(GiElement
714name "HEARTBEAT_PWM_DIVIDER"
715type "integer"
716value "500"
717)
718(GiElement
719name "WAITING_DIVIDER"
720type "integer"
721value "500000000"
722)
723]
724)
725viewicon (ZoomableIcon
726uid 62,0
727sl 0
728va (VaSet
729vasetType 1
730fg "49152,49152,49152"
731)
732xt "250,20250,1750,21750"
733iconName "VhdlFileViewIcon.png"
734iconMaskName "VhdlFileViewIcon.msk"
735ftype 10
736)
737ordering 1
738viewiconposition 0
739portVis (PortSigDisplay
740)
741archType 1
742archFileType "UNKNOWN"
743)
744*15 (Net
745uid 63,0
746decl (Decl
747n "green"
748t "std_logic"
749o 1
750suid 1,0
751)
752declText (MLText
753uid 64,0
754va (VaSet
755font "Courier New,8,0"
756)
757xt "22000,5400,44500,6200"
758st "SIGNAL green : std_logic
759"
760)
761)
762*16 (Net
763uid 71,0
764decl (Decl
765n "amber"
766t "std_logic"
767o 2
768suid 2,0
769)
770declText (MLText
771uid 72,0
772va (VaSet
773font "Courier New,8,0"
774)
775xt "22000,4600,44500,5400"
776st "SIGNAL amber : std_logic
777"
778)
779)
780*17 (Net
781uid 79,0
782decl (Decl
783n "red"
784t "std_logic"
785o 3
786suid 3,0
787)
788declText (MLText
789uid 80,0
790va (VaSet
791font "Courier New,8,0"
792)
793xt "22000,6200,44500,7000"
794st "SIGNAL red : std_logic
795"
796)
797)
798*18 (Net
799uid 87,0
800decl (Decl
801n "additional_flasher_out"
802t "std_logic"
803o 4
804suid 4,0
805)
806declText (MLText
807uid 88,0
808va (VaSet
809font "Courier New,8,0"
810)
811xt "22000,3800,44500,4600"
812st "SIGNAL additional_flasher_out : std_logic
813"
814)
815)
816*19 (Net
817uid 95,0
818decl (Decl
819n "CLK"
820t "std_logic"
821o 5
822suid 5,0
823)
824declText (MLText
825uid 96,0
826va (VaSet
827font "Courier New,8,0"
828)
829xt "22000,3000,44500,3800"
830st "SIGNAL CLK : std_logic
831"
832)
833)
834*20 (Net
835uid 103,0
836decl (Decl
837n "trigger"
838t "std_logic"
839o 6
840suid 6,0
841)
842declText (MLText
843uid 104,0
844va (VaSet
845font "Courier New,8,0"
846)
847xt "22000,10200,44500,11000"
848st "SIGNAL trigger : std_logic
849"
850)
851)
852*21 (Net
853uid 111,0
854decl (Decl
855n "refclk_too_high"
856t "std_logic"
857o 7
858suid 7,0
859)
860declText (MLText
861uid 112,0
862va (VaSet
863font "Courier New,8,0"
864)
865xt "22000,7000,44500,7800"
866st "SIGNAL refclk_too_high : std_logic
867"
868)
869)
870*22 (Net
871uid 119,0
872decl (Decl
873n "refclk_too_low"
874t "std_logic"
875o 8
876suid 8,0
877)
878declText (MLText
879uid 120,0
880va (VaSet
881font "Courier New,8,0"
882)
883xt "22000,7800,44500,8600"
884st "SIGNAL refclk_too_low : std_logic
885"
886)
887)
888*23 (Net
889uid 127,0
890decl (Decl
891n "socks_waiting"
892t "std_logic"
893o 9
894suid 9,0
895)
896declText (MLText
897uid 128,0
898va (VaSet
899font "Courier New,8,0"
900)
901xt "22000,9400,44500,10200"
902st "SIGNAL socks_waiting : std_logic
903"
904)
905)
906*24 (Net
907uid 135,0
908decl (Decl
909n "socks_connected"
910t "std_logic"
911o 10
912suid 10,0
913)
914declText (MLText
915uid 136,0
916va (VaSet
917font "Courier New,8,0"
918)
919xt "22000,8600,44500,9400"
920st "SIGNAL socks_connected : std_logic
921"
922)
923)
924*25 (Blk
925uid 143,0
926shape (Rectangle
927uid 144,0
928va (VaSet
929vasetType 1
930fg "39936,56832,65280"
931lineColor "0,0,32768"
932lineWidth 2
933)
934xt "44000,13000,62000,20000"
935)
936ttg (MlTextGroup
937uid 145,0
938ps "CenterOffsetStrategy"
939stg "VerticalLayoutStrategy"
940textVec [
941*26 (Text
942uid 146,0
943va (VaSet
944font "Arial,8,1"
945)
946xt "49150,15000,56850,16000"
947st "FACT_FAD_TB_lib"
948blo "49150,15800"
949tm "BdLibraryNameMgr"
950)
951*27 (Text
952uid 147,0
953va (VaSet
954font "Arial,8,1"
955)
956xt "49150,16000,57950,17000"
957st "led_controller_tester"
958blo "49150,16800"
959tm "BlkNameMgr"
960)
961*28 (Text
962uid 148,0
963va (VaSet
964font "Arial,8,1"
965)
966xt "49150,17000,50950,18000"
967st "U_1"
968blo "49150,17800"
969tm "InstanceNameMgr"
970)
971]
972)
973ga (GenericAssociation
974uid 149,0
975ps "EdgeToEdgeStrategy"
976matrix (Matrix
977uid 150,0
978text (MLText
979uid 151,0
980va (VaSet
981font "Courier New,8,0"
982)
983xt "49150,25000,49150,25000"
984)
985header ""
986)
987elements [
988]
989)
990viewicon (ZoomableIcon
991uid 152,0
992sl 0
993va (VaSet
994vasetType 1
995fg "49152,49152,49152"
996)
997xt "44250,18250,45750,19750"
998iconName "VhdlFileViewIcon.png"
999iconMaskName "VhdlFileViewIcon.msk"
1000ftype 10
1001)
1002ordering 1
1003viewiconposition 0
1004blkPorts [
1005"additional_flasher_out"
1006"amber"
1007"green"
1008"red"
1009"refclk_too_high"
1010"refclk_too_low"
1011"socks_connected"
1012"socks_waiting"
1013"trigger"
1014]
1015)
1016*29 (Grouping
1017uid 233,0
1018optionalChildren [
1019*30 (CommentText
1020uid 235,0
1021shape (Rectangle
1022uid 236,0
1023sl 0
1024va (VaSet
1025vasetType 1
1026fg "65280,65280,46080"
1027)
1028xt "20000,50000,37000,51000"
1029)
1030oxt "18000,70000,35000,71000"
1031text (MLText
1032uid 237,0
1033va (VaSet
1034fg "0,0,32768"
1035bg "0,0,32768"
1036)
1037xt "20200,50000,30000,51000"
1038st "
1039by %user on %dd %month %year
1040"
1041tm "CommentText"
1042wrapOption 3
1043visibleHeight 1000
1044visibleWidth 17000
1045)
1046position 1
1047ignorePrefs 1
1048titleBlock 1
1049)
1050*31 (CommentText
1051uid 238,0
1052shape (Rectangle
1053uid 239,0
1054sl 0
1055va (VaSet
1056vasetType 1
1057fg "65280,65280,46080"
1058)
1059xt "37000,46000,41000,47000"
1060)
1061oxt "35000,66000,39000,67000"
1062text (MLText
1063uid 240,0
1064va (VaSet
1065fg "0,0,32768"
1066bg "0,0,32768"
1067)
1068xt "37200,46000,40200,47000"
1069st "
1070Project:
1071"
1072tm "CommentText"
1073wrapOption 3
1074visibleHeight 1000
1075visibleWidth 4000
1076)
1077position 1
1078ignorePrefs 1
1079titleBlock 1
1080)
1081*32 (CommentText
1082uid 241,0
1083shape (Rectangle
1084uid 242,0
1085sl 0
1086va (VaSet
1087vasetType 1
1088fg "65280,65280,46080"
1089)
1090xt "20000,48000,37000,49000"
1091)
1092oxt "18000,68000,35000,69000"
1093text (MLText
1094uid 243,0
1095va (VaSet
1096fg "0,0,32768"
1097bg "0,0,32768"
1098)
1099xt "20200,48000,30200,49000"
1100st "
1101<enter diagram title here>
1102"
1103tm "CommentText"
1104wrapOption 3
1105visibleHeight 1000
1106visibleWidth 17000
1107)
1108position 1
1109ignorePrefs 1
1110titleBlock 1
1111)
1112*33 (CommentText
1113uid 244,0
1114shape (Rectangle
1115uid 245,0
1116sl 0
1117va (VaSet
1118vasetType 1
1119fg "65280,65280,46080"
1120)
1121xt "16000,48000,20000,49000"
1122)
1123oxt "14000,68000,18000,69000"
1124text (MLText
1125uid 246,0
1126va (VaSet
1127fg "0,0,32768"
1128bg "0,0,32768"
1129)
1130xt "16200,48000,18300,49000"
1131st "
1132Title:
1133"
1134tm "CommentText"
1135wrapOption 3
1136visibleHeight 1000
1137visibleWidth 4000
1138)
1139position 1
1140ignorePrefs 1
1141titleBlock 1
1142)
1143*34 (CommentText
1144uid 247,0
1145shape (Rectangle
1146uid 248,0
1147sl 0
1148va (VaSet
1149vasetType 1
1150fg "65280,65280,46080"
1151)
1152xt "37000,47000,57000,51000"
1153)
1154oxt "35000,67000,55000,71000"
1155text (MLText
1156uid 249,0
1157va (VaSet
1158fg "0,0,32768"
1159bg "0,0,32768"
1160)
1161xt "37200,47200,46400,48200"
1162st "
1163<enter comments here>
1164"
1165tm "CommentText"
1166wrapOption 3
1167visibleHeight 4000
1168visibleWidth 20000
1169)
1170ignorePrefs 1
1171titleBlock 1
1172)
1173*35 (CommentText
1174uid 250,0
1175shape (Rectangle
1176uid 251,0
1177sl 0
1178va (VaSet
1179vasetType 1
1180fg "65280,65280,46080"
1181)
1182xt "41000,46000,57000,47000"
1183)
1184oxt "39000,66000,55000,67000"
1185text (MLText
1186uid 252,0
1187va (VaSet
1188fg "0,0,32768"
1189bg "0,0,32768"
1190)
1191xt "41200,46000,45700,47000"
1192st "
1193%project_name
1194"
1195tm "CommentText"
1196wrapOption 3
1197visibleHeight 1000
1198visibleWidth 16000
1199)
1200position 1
1201ignorePrefs 1
1202titleBlock 1
1203)
1204*36 (CommentText
1205uid 253,0
1206shape (Rectangle
1207uid 254,0
1208sl 0
1209va (VaSet
1210vasetType 1
1211fg "65280,65280,46080"
1212)
1213xt "16000,46000,37000,48000"
1214)
1215oxt "14000,66000,35000,68000"
1216text (MLText
1217uid 255,0
1218va (VaSet
1219fg "32768,0,0"
1220)
1221xt "23150,46500,29850,47500"
1222st "
1223<company name>
1224"
1225ju 0
1226tm "CommentText"
1227wrapOption 3
1228visibleHeight 2000
1229visibleWidth 21000
1230)
1231position 1
1232ignorePrefs 1
1233titleBlock 1
1234)
1235*37 (CommentText
1236uid 256,0
1237shape (Rectangle
1238uid 257,0
1239sl 0
1240va (VaSet
1241vasetType 1
1242fg "65280,65280,46080"
1243)
1244xt "16000,49000,20000,50000"
1245)
1246oxt "14000,69000,18000,70000"
1247text (MLText
1248uid 258,0
1249va (VaSet
1250fg "0,0,32768"
1251bg "0,0,32768"
1252)
1253xt "16200,49000,18300,50000"
1254st "
1255Path:
1256"
1257tm "CommentText"
1258wrapOption 3
1259visibleHeight 1000
1260visibleWidth 4000
1261)
1262position 1
1263ignorePrefs 1
1264titleBlock 1
1265)
1266*38 (CommentText
1267uid 259,0
1268shape (Rectangle
1269uid 260,0
1270sl 0
1271va (VaSet
1272vasetType 1
1273fg "65280,65280,46080"
1274)
1275xt "16000,50000,20000,51000"
1276)
1277oxt "14000,70000,18000,71000"
1278text (MLText
1279uid 261,0
1280va (VaSet
1281fg "0,0,32768"
1282bg "0,0,32768"
1283)
1284xt "16200,50000,18900,51000"
1285st "
1286Edited:
1287"
1288tm "CommentText"
1289wrapOption 3
1290visibleHeight 1000
1291visibleWidth 4000
1292)
1293position 1
1294ignorePrefs 1
1295titleBlock 1
1296)
1297*39 (CommentText
1298uid 262,0
1299shape (Rectangle
1300uid 263,0
1301sl 0
1302va (VaSet
1303vasetType 1
1304fg "65280,65280,46080"
1305)
1306xt "20000,49000,37000,50000"
1307)
1308oxt "18000,69000,35000,70000"
1309text (MLText
1310uid 264,0
1311va (VaSet
1312fg "0,0,32768"
1313bg "0,0,32768"
1314)
1315xt "20200,49000,36400,50000"
1316st "
1317%library/%unit/%view
1318"
1319tm "CommentText"
1320wrapOption 3
1321visibleHeight 1000
1322visibleWidth 17000
1323)
1324position 1
1325ignorePrefs 1
1326titleBlock 1
1327)
1328]
1329shape (GroupingShape
1330uid 234,0
1331va (VaSet
1332vasetType 1
1333fg "65535,65535,65535"
1334lineStyle 2
1335lineWidth 2
1336)
1337xt "16000,46000,57000,51000"
1338)
1339oxt "14000,66000,55000,71000"
1340)
1341*40 (SaComponent
1342uid 424,0
1343optionalChildren [
1344*41 (CptPort
1345uid 413,0
1346ps "OnEdgeStrategy"
1347shape (Triangle
1348uid 414,0
1349ro 90
1350va (VaSet
1351vasetType 1
1352fg "0,65535,0"
1353)
1354xt "7000,31625,7750,32375"
1355)
1356tg (CPTG
1357uid 415,0
1358ps "CptPortTextPlaceStrategy"
1359stg "RightVerticalLayoutStrategy"
1360f (Text
1361uid 416,0
1362va (VaSet
1363)
1364xt "4700,31500,6000,32500"
1365st "clk"
1366ju 2
1367blo "6000,32300"
1368)
1369)
1370thePort (LogicalPort
1371m 1
1372decl (Decl
1373n "clk"
1374t "std_logic"
1375preAdd 0
1376posAdd 0
1377o 1
1378suid 1,0
1379i "'0'"
1380)
1381)
1382)
1383*42 (CptPort
1384uid 417,0
1385ps "OnEdgeStrategy"
1386shape (Triangle
1387uid 418,0
1388ro 90
1389va (VaSet
1390vasetType 1
1391fg "0,65535,0"
1392)
1393xt "7000,32625,7750,33375"
1394)
1395tg (CPTG
1396uid 419,0
1397ps "CptPortTextPlaceStrategy"
1398stg "RightVerticalLayoutStrategy"
1399f (Text
1400uid 420,0
1401va (VaSet
1402)
1403xt "4700,32500,6000,33500"
1404st "rst"
1405ju 2
1406blo "6000,33300"
1407)
1408)
1409thePort (LogicalPort
1410m 1
1411decl (Decl
1412n "rst"
1413t "std_logic"
1414preAdd 0
1415posAdd 0
1416o 2
1417suid 2,0
1418i "'0'"
1419)
1420)
1421)
1422]
1423shape (Rectangle
1424uid 425,0
1425va (VaSet
1426vasetType 1
1427fg "0,49152,49152"
1428lineColor "0,0,50000"
1429lineWidth 2
1430)
1431xt "-1000,31000,7000,35000"
1432)
1433oxt "22000,15000,30000,19000"
1434ttg (MlTextGroup
1435uid 426,0
1436ps "CenterOffsetStrategy"
1437stg "VerticalLayoutStrategy"
1438textVec [
1439*43 (Text
1440uid 427,0
1441va (VaSet
1442font "Arial,8,1"
1443)
1444xt "-850,35000,6850,36000"
1445st "FACT_FAD_TB_lib"
1446blo "-850,35800"
1447tm "BdLibraryNameMgr"
1448)
1449*44 (Text
1450uid 428,0
1451va (VaSet
1452font "Arial,8,1"
1453)
1454xt "-850,36000,5850,37000"
1455st "clock_generator"
1456blo "-850,36800"
1457tm "CptNameMgr"
1458)
1459*45 (Text
1460uid 429,0
1461va (VaSet
1462font "Arial,8,1"
1463)
1464xt "-850,37000,950,38000"
1465st "U_2"
1466blo "-850,37800"
1467tm "InstanceNameMgr"
1468)
1469]
1470)
1471ga (GenericAssociation
1472uid 430,0
1473ps "EdgeToEdgeStrategy"
1474matrix (Matrix
1475uid 431,0
1476text (MLText
1477uid 432,0
1478va (VaSet
1479font "Courier New,8,0"
1480)
1481xt "-5000,29400,13500,31000"
1482st "clock_period = 20 ns ( time )
1483reset_time = 50 ns ( time ) "
1484)
1485header ""
1486)
1487elements [
1488(GiElement
1489name "clock_period"
1490type "time"
1491value "20 ns"
1492)
1493(GiElement
1494name "reset_time"
1495type "time"
1496value "50 ns"
1497)
1498]
1499)
1500viewicon (ZoomableIcon
1501uid 433,0
1502sl 0
1503va (VaSet
1504vasetType 1
1505fg "49152,49152,49152"
1506)
1507xt "-750,33250,750,34750"
1508iconName "VhdlFileViewIcon.png"
1509iconMaskName "VhdlFileViewIcon.msk"
1510ftype 10
1511)
1512ordering 1
1513viewiconposition 0
1514portVis (PortSigDisplay
1515)
1516archFileType "UNKNOWN"
1517)
1518*46 (Wire
1519uid 65,0
1520shape (OrthoPolyLine
1521uid 66,0
1522va (VaSet
1523vasetType 3
1524)
1525xt "18750,16000,30000,16000"
1526pts [
1527"18750,16000"
1528"30000,16000"
1529]
1530)
1531start &3
1532sat 32
1533eat 16
1534st 0
1535sf 1
1536si 0
1537tg (WTG
1538uid 69,0
1539ps "ConnStartEndStrategy"
1540stg "STSignalDisplayStrategy"
1541f (Text
1542uid 70,0
1543va (VaSet
1544)
1545xt "20000,15000,22400,16000"
1546st "green"
1547blo "20000,15800"
1548tm "WireNameMgr"
1549)
1550)
1551on &15
1552)
1553*47 (Wire
1554uid 73,0
1555shape (OrthoPolyLine
1556uid 74,0
1557va (VaSet
1558vasetType 3
1559)
1560xt "18750,17000,30000,17000"
1561pts [
1562"18750,17000"
1563"30000,17000"
1564]
1565)
1566start &4
1567sat 32
1568eat 16
1569st 0
1570sf 1
1571si 0
1572tg (WTG
1573uid 77,0
1574ps "ConnStartEndStrategy"
1575stg "STSignalDisplayStrategy"
1576f (Text
1577uid 78,0
1578va (VaSet
1579)
1580xt "20000,16000,22500,17000"
1581st "amber"
1582blo "20000,16800"
1583tm "WireNameMgr"
1584)
1585)
1586on &16
1587)
1588*48 (Wire
1589uid 81,0
1590shape (OrthoPolyLine
1591uid 82,0
1592va (VaSet
1593vasetType 3
1594)
1595xt "18750,18000,30000,18000"
1596pts [
1597"18750,18000"
1598"30000,18000"
1599]
1600)
1601start &5
1602sat 32
1603eat 16
1604st 0
1605sf 1
1606si 0
1607tg (WTG
1608uid 85,0
1609ps "ConnStartEndStrategy"
1610stg "STSignalDisplayStrategy"
1611f (Text
1612uid 86,0
1613va (VaSet
1614)
1615xt "20000,17000,21500,18000"
1616st "red"
1617blo "20000,17800"
1618tm "WireNameMgr"
1619)
1620)
1621on &17
1622)
1623*49 (Wire
1624uid 89,0
1625shape (OrthoPolyLine
1626uid 90,0
1627va (VaSet
1628vasetType 3
1629)
1630xt "18750,19000,30000,19000"
1631pts [
1632"18750,19000"
1633"30000,19000"
1634]
1635)
1636start &6
1637sat 32
1638eat 16
1639st 0
1640sf 1
1641si 0
1642tg (WTG
1643uid 93,0
1644ps "ConnStartEndStrategy"
1645stg "STSignalDisplayStrategy"
1646f (Text
1647uid 94,0
1648va (VaSet
1649)
1650xt "20000,18000,28500,19000"
1651st "additional_flasher_out"
1652blo "20000,18800"
1653tm "WireNameMgr"
1654)
1655)
1656on &18
1657)
1658*50 (Wire
1659uid 97,0
1660shape (OrthoPolyLine
1661uid 98,0
1662va (VaSet
1663vasetType 3
1664)
1665xt "-10000,16000,-750,16000"
1666pts [
1667"-10000,16000"
1668"-750,16000"
1669]
1670)
1671end &2
1672sat 16
1673eat 32
1674st 0
1675sf 1
1676si 0
1677tg (WTG
1678uid 101,0
1679ps "ConnStartEndStrategy"
1680stg "STSignalDisplayStrategy"
1681f (Text
1682uid 102,0
1683va (VaSet
1684)
1685xt "-9000,15000,-7100,16000"
1686st "CLK"
1687blo "-9000,15800"
1688tm "WireNameMgr"
1689)
1690)
1691on &19
1692)
1693*51 (Wire
1694uid 105,0
1695shape (OrthoPolyLine
1696uid 106,0
1697va (VaSet
1698vasetType 3
1699)
1700xt "-10000,17000,-750,17000"
1701pts [
1702"-10000,17000"
1703"-750,17000"
1704]
1705)
1706end &7
1707sat 16
1708eat 32
1709st 0
1710sf 1
1711si 0
1712tg (WTG
1713uid 109,0
1714ps "ConnStartEndStrategy"
1715stg "STSignalDisplayStrategy"
1716f (Text
1717uid 110,0
1718va (VaSet
1719)
1720xt "-9000,16000,-6200,17000"
1721st "trigger"
1722blo "-9000,16800"
1723tm "WireNameMgr"
1724)
1725)
1726on &20
1727)
1728*52 (Wire
1729uid 113,0
1730shape (OrthoPolyLine
1731uid 114,0
1732va (VaSet
1733vasetType 3
1734)
1735xt "-10000,18000,-750,18000"
1736pts [
1737"-10000,18000"
1738"-750,18000"
1739]
1740)
1741end &8
1742sat 16
1743eat 32
1744st 0
1745sf 1
1746si 0
1747tg (WTG
1748uid 117,0
1749ps "ConnStartEndStrategy"
1750stg "STSignalDisplayStrategy"
1751f (Text
1752uid 118,0
1753va (VaSet
1754)
1755xt "-9000,17000,-3100,18000"
1756st "refclk_too_high"
1757blo "-9000,17800"
1758tm "WireNameMgr"
1759)
1760)
1761on &21
1762)
1763*53 (Wire
1764uid 121,0
1765shape (OrthoPolyLine
1766uid 122,0
1767va (VaSet
1768vasetType 3
1769)
1770xt "-10000,19000,-750,19000"
1771pts [
1772"-10000,19000"
1773"-750,19000"
1774]
1775)
1776end &9
1777sat 16
1778eat 32
1779st 0
1780sf 1
1781si 0
1782tg (WTG
1783uid 125,0
1784ps "ConnStartEndStrategy"
1785stg "STSignalDisplayStrategy"
1786f (Text
1787uid 126,0
1788va (VaSet
1789)
1790xt "-9000,18000,-3500,19000"
1791st "refclk_too_low"
1792blo "-9000,18800"
1793tm "WireNameMgr"
1794)
1795)
1796on &22
1797)
1798*54 (Wire
1799uid 129,0
1800shape (OrthoPolyLine
1801uid 130,0
1802va (VaSet
1803vasetType 3
1804)
1805xt "-10000,20000,-750,20000"
1806pts [
1807"-10000,20000"
1808"-750,20000"
1809]
1810)
1811end &10
1812sat 16
1813eat 32
1814st 0
1815sf 1
1816si 0
1817tg (WTG
1818uid 133,0
1819ps "ConnStartEndStrategy"
1820stg "STSignalDisplayStrategy"
1821f (Text
1822uid 134,0
1823va (VaSet
1824)
1825xt "-9000,19000,-3500,20000"
1826st "socks_waiting"
1827blo "-9000,19800"
1828tm "WireNameMgr"
1829)
1830)
1831on &23
1832)
1833*55 (Wire
1834uid 137,0
1835shape (OrthoPolyLine
1836uid 138,0
1837va (VaSet
1838vasetType 3
1839)
1840xt "-10000,21000,-750,21000"
1841pts [
1842"-10000,21000"
1843"-750,21000"
1844]
1845)
1846end &11
1847sat 16
1848eat 32
1849st 0
1850sf 1
1851si 0
1852tg (WTG
1853uid 141,0
1854ps "ConnStartEndStrategy"
1855stg "STSignalDisplayStrategy"
1856f (Text
1857uid 142,0
1858va (VaSet
1859)
1860xt "-9000,20000,-2500,21000"
1861st "socks_connected"
1862blo "-9000,20800"
1863tm "WireNameMgr"
1864)
1865)
1866on &24
1867)
1868*56 (Wire
1869uid 153,0
1870shape (OrthoPolyLine
1871uid 154,0
1872va (VaSet
1873vasetType 3
1874)
1875xt "62000,16000,74000,16000"
1876pts [
1877"62000,16000"
1878"74000,16000"
1879]
1880)
1881start &25
1882sat 1
1883eat 16
1884st 0
1885sf 1
1886si 0
1887tg (WTG
1888uid 159,0
1889ps "ConnStartEndStrategy"
1890stg "STSignalDisplayStrategy"
1891f (Text
1892uid 160,0
1893va (VaSet
1894)
1895xt "63000,15000,64500,16000"
1896st "red"
1897blo "63000,15800"
1898tm "WireNameMgr"
1899)
1900)
1901on &17
1902)
1903*57 (Wire
1904uid 161,0
1905shape (OrthoPolyLine
1906uid 162,0
1907va (VaSet
1908vasetType 3
1909)
1910xt "62000,14000,74000,14000"
1911pts [
1912"62000,14000"
1913"74000,14000"
1914]
1915)
1916start &25
1917sat 1
1918eat 16
1919st 0
1920sf 1
1921si 0
1922tg (WTG
1923uid 167,0
1924ps "ConnStartEndStrategy"
1925stg "STSignalDisplayStrategy"
1926f (Text
1927uid 168,0
1928va (VaSet
1929)
1930xt "63000,13000,65400,14000"
1931st "green"
1932blo "63000,13800"
1933tm "WireNameMgr"
1934)
1935)
1936on &15
1937)
1938*58 (Wire
1939uid 169,0
1940shape (OrthoPolyLine
1941uid 170,0
1942va (VaSet
1943vasetType 3
1944)
1945xt "34000,15000,44000,15000"
1946pts [
1947"34000,15000"
1948"44000,15000"
1949]
1950)
1951end &25
1952sat 16
1953eat 2
1954st 0
1955sf 1
1956si 0
1957tg (WTG
1958uid 175,0
1959ps "ConnStartEndStrategy"
1960stg "STSignalDisplayStrategy"
1961f (Text
1962uid 176,0
1963va (VaSet
1964)
1965xt "35000,14000,37800,15000"
1966st "trigger"
1967blo "35000,14800"
1968tm "WireNameMgr"
1969)
1970)
1971on &20
1972)
1973*59 (Wire
1974uid 177,0
1975shape (OrthoPolyLine
1976uid 178,0
1977va (VaSet
1978vasetType 3
1979)
1980xt "62000,17000,74000,17000"
1981pts [
1982"62000,17000"
1983"74000,17000"
1984]
1985)
1986start &25
1987sat 1
1988eat 16
1989st 0
1990sf 1
1991si 0
1992tg (WTG
1993uid 183,0
1994ps "ConnStartEndStrategy"
1995stg "STSignalDisplayStrategy"
1996f (Text
1997uid 184,0
1998va (VaSet
1999)
2000xt "63000,16000,71500,17000"
2001st "additional_flasher_out"
2002blo "63000,16800"
2003tm "WireNameMgr"
2004)
2005)
2006on &18
2007)
2008*60 (Wire
2009uid 185,0
2010shape (OrthoPolyLine
2011uid 186,0
2012va (VaSet
2013vasetType 3
2014)
2015xt "34000,18000,44000,18000"
2016pts [
2017"34000,18000"
2018"44000,18000"
2019]
2020)
2021end &25
2022sat 16
2023eat 2
2024st 0
2025sf 1
2026si 0
2027tg (WTG
2028uid 191,0
2029ps "ConnStartEndStrategy"
2030stg "STSignalDisplayStrategy"
2031f (Text
2032uid 192,0
2033va (VaSet
2034)
2035xt "35000,17000,40500,18000"
2036st "socks_waiting"
2037blo "35000,17800"
2038tm "WireNameMgr"
2039)
2040)
2041on &23
2042)
2043*61 (Wire
2044uid 193,0
2045shape (OrthoPolyLine
2046uid 194,0
2047va (VaSet
2048vasetType 3
2049)
2050xt "34000,16000,44000,16000"
2051pts [
2052"34000,16000"
2053"44000,16000"
2054]
2055)
2056end &25
2057sat 16
2058eat 2
2059st 0
2060sf 1
2061si 0
2062tg (WTG
2063uid 199,0
2064ps "ConnStartEndStrategy"
2065stg "STSignalDisplayStrategy"
2066f (Text
2067uid 200,0
2068va (VaSet
2069)
2070xt "35000,15000,40900,16000"
2071st "refclk_too_high"
2072blo "35000,15800"
2073tm "WireNameMgr"
2074)
2075)
2076on &21
2077)
2078*62 (Wire
2079uid 201,0
2080shape (OrthoPolyLine
2081uid 202,0
2082va (VaSet
2083vasetType 3
2084)
2085xt "34000,17000,44000,17000"
2086pts [
2087"34000,17000"
2088"44000,17000"
2089]
2090)
2091end &25
2092sat 16
2093eat 2
2094st 0
2095sf 1
2096si 0
2097tg (WTG
2098uid 207,0
2099ps "ConnStartEndStrategy"
2100stg "STSignalDisplayStrategy"
2101f (Text
2102uid 208,0
2103va (VaSet
2104)
2105xt "35000,16000,40500,17000"
2106st "refclk_too_low"
2107blo "35000,16800"
2108tm "WireNameMgr"
2109)
2110)
2111on &22
2112)
2113*63 (Wire
2114uid 217,0
2115shape (OrthoPolyLine
2116uid 218,0
2117va (VaSet
2118vasetType 3
2119)
2120xt "62000,15000,74000,15000"
2121pts [
2122"62000,15000"
2123"74000,15000"
2124]
2125)
2126start &25
2127sat 1
2128eat 16
2129st 0
2130sf 1
2131si 0
2132tg (WTG
2133uid 223,0
2134ps "ConnStartEndStrategy"
2135stg "STSignalDisplayStrategy"
2136f (Text
2137uid 224,0
2138va (VaSet
2139)
2140xt "63000,14000,65500,15000"
2141st "amber"
2142blo "63000,14800"
2143tm "WireNameMgr"
2144)
2145)
2146on &16
2147)
2148*64 (Wire
2149uid 225,0
2150shape (OrthoPolyLine
2151uid 226,0
2152va (VaSet
2153vasetType 3
2154)
2155xt "34000,19000,44000,19000"
2156pts [
2157"34000,19000"
2158"44000,19000"
2159]
2160)
2161end &25
2162sat 16
2163eat 2
2164st 0
2165sf 1
2166si 0
2167tg (WTG
2168uid 231,0
2169ps "ConnStartEndStrategy"
2170stg "STSignalDisplayStrategy"
2171f (Text
2172uid 232,0
2173va (VaSet
2174)
2175xt "35000,18000,41500,19000"
2176st "socks_connected"
2177blo "35000,18800"
2178tm "WireNameMgr"
2179)
2180)
2181on &24
2182)
2183*65 (Wire
2184uid 434,0
2185shape (OrthoPolyLine
2186uid 435,0
2187va (VaSet
2188vasetType 3
2189)
2190xt "7750,32000,12000,32000"
2191pts [
2192"7750,32000"
2193"12000,32000"
2194]
2195)
2196start &41
2197sat 32
2198eat 16
2199st 0
2200sf 1
2201si 0
2202tg (WTG
2203uid 438,0
2204ps "ConnStartEndStrategy"
2205stg "STSignalDisplayStrategy"
2206f (Text
2207uid 439,0
2208va (VaSet
2209)
2210xt "9000,31000,10900,32000"
2211st "CLK"
2212blo "9000,31800"
2213tm "WireNameMgr"
2214)
2215)
2216on &19
2217)
2218]
2219bg "65535,65535,65535"
2220grid (Grid
2221origin "0,0"
2222isVisible 1
2223isActive 1
2224xSpacing 1000
2225xySpacing 1000
2226xShown 1
2227yShown 1
2228color "26368,26368,26368"
2229)
2230packageList *66 (PackageList
2231uid 285,0
2232stg "VerticalLayoutStrategy"
2233textVec [
2234*67 (Text
2235uid 286,0
2236va (VaSet
2237font "arial,8,1"
2238)
2239xt "0,0,5400,1000"
2240st "Package List"
2241blo "0,800"
2242)
2243*68 (MLText
2244uid 287,0
2245va (VaSet
2246)
2247xt "0,1000,15300,7000"
2248st "LIBRARY ieee;
2249USE ieee.std_logic_1164.ALL;
2250USE ieee.std_logic_arith.ALL;
2251USE ieee.STD_LOGIC_UNSIGNED.ALL;
2252LIBRARY FACT_FAD_lib;
2253USE FACT_FAD_lib.fad_definitions.ALL;"
2254tm "PackageList"
2255)
2256]
2257)
2258compDirBlock (MlTextGroup
2259uid 288,0
2260stg "VerticalLayoutStrategy"
2261textVec [
2262*69 (Text
2263uid 289,0
2264va (VaSet
2265isHidden 1
2266font "Arial,8,1"
2267)
2268xt "20000,0,28100,1000"
2269st "Compiler Directives"
2270blo "20000,800"
2271)
2272*70 (Text
2273uid 290,0
2274va (VaSet
2275isHidden 1
2276font "Arial,8,1"
2277)
2278xt "20000,1000,29600,2000"
2279st "Pre-module directives:"
2280blo "20000,1800"
2281)
2282*71 (MLText
2283uid 291,0
2284va (VaSet
2285isHidden 1
2286)
2287xt "20000,2000,27500,4000"
2288st "`resetall
2289`timescale 1ns/10ps"
2290tm "BdCompilerDirectivesTextMgr"
2291)
2292*72 (Text
2293uid 292,0
2294va (VaSet
2295isHidden 1
2296font "Arial,8,1"
2297)
2298xt "20000,4000,30100,5000"
2299st "Post-module directives:"
2300blo "20000,4800"
2301)
2302*73 (MLText
2303uid 293,0
2304va (VaSet
2305isHidden 1
2306)
2307xt "20000,0,20000,0"
2308tm "BdCompilerDirectivesTextMgr"
2309)
2310*74 (Text
2311uid 294,0
2312va (VaSet
2313isHidden 1
2314font "Arial,8,1"
2315)
2316xt "20000,5000,29900,6000"
2317st "End-module directives:"
2318blo "20000,5800"
2319)
2320*75 (MLText
2321uid 295,0
2322va (VaSet
2323isHidden 1
2324)
2325xt "20000,6000,20000,6000"
2326tm "BdCompilerDirectivesTextMgr"
2327)
2328]
2329associable 1
2330)
2331windowSize "0,0,1016,690"
2332viewArea "-7610,-500,64120,49000"
2333cachedDiagramExtent "-10400,0,74400,51000"
2334hasePageBreakOrigin 1
2335pageBreakOrigin "-11000,0"
2336lastUid 439,0
2337defaultCommentText (CommentText
2338shape (Rectangle
2339layer 0
2340va (VaSet
2341vasetType 1
2342fg "65280,65280,46080"
2343lineColor "0,0,32768"
2344)
2345xt "0,0,15000,5000"
2346)
2347text (MLText
2348va (VaSet
2349fg "0,0,32768"
2350)
2351xt "200,200,2000,1200"
2352st "
2353Text
2354"
2355tm "CommentText"
2356wrapOption 3
2357visibleHeight 4600
2358visibleWidth 14600
2359)
2360)
2361defaultPanel (Panel
2362shape (RectFrame
2363va (VaSet
2364vasetType 1
2365fg "65535,65535,65535"
2366lineColor "32768,0,0"
2367lineWidth 3
2368)
2369xt "0,0,20000,20000"
2370)
2371title (TextAssociate
2372ps "TopLeftStrategy"
2373text (Text
2374va (VaSet
2375font "Arial,8,1"
2376)
2377xt "1000,1000,3800,2000"
2378st "Panel0"
2379blo "1000,1800"
2380tm "PanelText"
2381)
2382)
2383)
2384defaultBlk (Blk
2385shape (Rectangle
2386va (VaSet
2387vasetType 1
2388fg "39936,56832,65280"
2389lineColor "0,0,32768"
2390lineWidth 2
2391)
2392xt "0,0,8000,10000"
2393)
2394ttg (MlTextGroup
2395ps "CenterOffsetStrategy"
2396stg "VerticalLayoutStrategy"
2397textVec [
2398*76 (Text
2399va (VaSet
2400font "Arial,8,1"
2401)
2402xt "2200,3500,5800,4500"
2403st "<library>"
2404blo "2200,4300"
2405tm "BdLibraryNameMgr"
2406)
2407*77 (Text
2408va (VaSet
2409font "Arial,8,1"
2410)
2411xt "2200,4500,5600,5500"
2412st "<block>"
2413blo "2200,5300"
2414tm "BlkNameMgr"
2415)
2416*78 (Text
2417va (VaSet
2418font "Arial,8,1"
2419)
2420xt "2200,5500,4000,6500"
2421st "U_0"
2422blo "2200,6300"
2423tm "InstanceNameMgr"
2424)
2425]
2426)
2427ga (GenericAssociation
2428ps "EdgeToEdgeStrategy"
2429matrix (Matrix
2430text (MLText
2431va (VaSet
2432font "Courier New,8,0"
2433)
2434xt "2200,13500,2200,13500"
2435)
2436header ""
2437)
2438elements [
2439]
2440)
2441viewicon (ZoomableIcon
2442sl 0
2443va (VaSet
2444vasetType 1
2445fg "49152,49152,49152"
2446)
2447xt "0,0,1500,1500"
2448iconName "UnknownFile.png"
2449iconMaskName "UnknownFile.msk"
2450)
2451viewiconposition 0
2452)
2453defaultMWComponent (MWC
2454shape (Rectangle
2455va (VaSet
2456vasetType 1
2457fg "0,65535,0"
2458lineColor "0,32896,0"
2459lineWidth 2
2460)
2461xt "0,0,8000,10000"
2462)
2463ttg (MlTextGroup
2464ps "CenterOffsetStrategy"
2465stg "VerticalLayoutStrategy"
2466textVec [
2467*79 (Text
2468va (VaSet
2469font "Arial,8,1"
2470)
2471xt "550,3500,3450,4500"
2472st "Library"
2473blo "550,4300"
2474)
2475*80 (Text
2476va (VaSet
2477font "Arial,8,1"
2478)
2479xt "550,4500,7450,5500"
2480st "MWComponent"
2481blo "550,5300"
2482)
2483*81 (Text
2484va (VaSet
2485font "Arial,8,1"
2486)
2487xt "550,5500,2350,6500"
2488st "U_0"
2489blo "550,6300"
2490tm "InstanceNameMgr"
2491)
2492]
2493)
2494ga (GenericAssociation
2495ps "EdgeToEdgeStrategy"
2496matrix (Matrix
2497text (MLText
2498va (VaSet
2499font "Courier New,8,0"
2500)
2501xt "-6450,1500,-6450,1500"
2502)
2503header ""
2504)
2505elements [
2506]
2507)
2508portVis (PortSigDisplay
2509)
2510prms (Property
2511pclass "params"
2512pname "params"
2513ptn "String"
2514)
2515visOptions (mwParamsVisibilityOptions
2516)
2517)
2518defaultSaComponent (SaComponent
2519shape (Rectangle
2520va (VaSet
2521vasetType 1
2522fg "0,65535,0"
2523lineColor "0,32896,0"
2524lineWidth 2
2525)
2526xt "0,0,8000,10000"
2527)
2528ttg (MlTextGroup
2529ps "CenterOffsetStrategy"
2530stg "VerticalLayoutStrategy"
2531textVec [
2532*82 (Text
2533va (VaSet
2534font "Arial,8,1"
2535)
2536xt "900,3500,3800,4500"
2537st "Library"
2538blo "900,4300"
2539tm "BdLibraryNameMgr"
2540)
2541*83 (Text
2542va (VaSet
2543font "Arial,8,1"
2544)
2545xt "900,4500,7100,5500"
2546st "SaComponent"
2547blo "900,5300"
2548tm "CptNameMgr"
2549)
2550*84 (Text
2551va (VaSet
2552font "Arial,8,1"
2553)
2554xt "900,5500,2700,6500"
2555st "U_0"
2556blo "900,6300"
2557tm "InstanceNameMgr"
2558)
2559]
2560)
2561ga (GenericAssociation
2562ps "EdgeToEdgeStrategy"
2563matrix (Matrix
2564text (MLText
2565va (VaSet
2566font "Courier New,8,0"
2567)
2568xt "-6100,1500,-6100,1500"
2569)
2570header ""
2571)
2572elements [
2573]
2574)
2575viewicon (ZoomableIcon
2576sl 0
2577va (VaSet
2578vasetType 1
2579fg "49152,49152,49152"
2580)
2581xt "0,0,1500,1500"
2582iconName "UnknownFile.png"
2583iconMaskName "UnknownFile.msk"
2584)
2585viewiconposition 0
2586portVis (PortSigDisplay
2587)
2588archFileType "UNKNOWN"
2589)
2590defaultVhdlComponent (VhdlComponent
2591shape (Rectangle
2592va (VaSet
2593vasetType 1
2594fg "0,65535,0"
2595lineColor "0,32896,0"
2596lineWidth 2
2597)
2598xt "0,0,8000,10000"
2599)
2600ttg (MlTextGroup
2601ps "CenterOffsetStrategy"
2602stg "VerticalLayoutStrategy"
2603textVec [
2604*85 (Text
2605va (VaSet
2606font "Arial,8,1"
2607)
2608xt "500,3500,3400,4500"
2609st "Library"
2610blo "500,4300"
2611)
2612*86 (Text
2613va (VaSet
2614font "Arial,8,1"
2615)
2616xt "500,4500,7500,5500"
2617st "VhdlComponent"
2618blo "500,5300"
2619)
2620*87 (Text
2621va (VaSet
2622font "Arial,8,1"
2623)
2624xt "500,5500,2300,6500"
2625st "U_0"
2626blo "500,6300"
2627tm "InstanceNameMgr"
2628)
2629]
2630)
2631ga (GenericAssociation
2632ps "EdgeToEdgeStrategy"
2633matrix (Matrix
2634text (MLText
2635va (VaSet
2636font "Courier New,8,0"
2637)
2638xt "-6500,1500,-6500,1500"
2639)
2640header ""
2641)
2642elements [
2643]
2644)
2645portVis (PortSigDisplay
2646)
2647entityPath ""
2648archName ""
2649archPath ""
2650)
2651defaultVerilogComponent (VerilogComponent
2652shape (Rectangle
2653va (VaSet
2654vasetType 1
2655fg "0,65535,0"
2656lineColor "0,32896,0"
2657lineWidth 2
2658)
2659xt "-450,0,8450,10000"
2660)
2661ttg (MlTextGroup
2662ps "CenterOffsetStrategy"
2663stg "VerticalLayoutStrategy"
2664textVec [
2665*88 (Text
2666va (VaSet
2667font "Arial,8,1"
2668)
2669xt "50,3500,2950,4500"
2670st "Library"
2671blo "50,4300"
2672)
2673*89 (Text
2674va (VaSet
2675font "Arial,8,1"
2676)
2677xt "50,4500,7950,5500"
2678st "VerilogComponent"
2679blo "50,5300"
2680)
2681*90 (Text
2682va (VaSet
2683font "Arial,8,1"
2684)
2685xt "50,5500,1850,6500"
2686st "U_0"
2687blo "50,6300"
2688tm "InstanceNameMgr"
2689)
2690]
2691)
2692ga (GenericAssociation
2693ps "EdgeToEdgeStrategy"
2694matrix (Matrix
2695text (MLText
2696va (VaSet
2697font "Courier New,8,0"
2698)
2699xt "-6950,1500,-6950,1500"
2700)
2701header ""
2702)
2703elements [
2704]
2705)
2706entityPath ""
2707)
2708defaultHdlText (HdlText
2709shape (Rectangle
2710va (VaSet
2711vasetType 1
2712fg "65535,65535,37120"
2713lineColor "0,0,32768"
2714lineWidth 2
2715)
2716xt "0,0,8000,10000"
2717)
2718ttg (MlTextGroup
2719ps "CenterOffsetStrategy"
2720stg "VerticalLayoutStrategy"
2721textVec [
2722*91 (Text
2723va (VaSet
2724font "Arial,8,1"
2725)
2726xt "3150,4000,4850,5000"
2727st "eb1"
2728blo "3150,4800"
2729tm "HdlTextNameMgr"
2730)
2731*92 (Text
2732va (VaSet
2733font "Arial,8,1"
2734)
2735xt "3150,5000,3950,6000"
2736st "1"
2737blo "3150,5800"
2738tm "HdlTextNumberMgr"
2739)
2740]
2741)
2742viewicon (ZoomableIcon
2743sl 0
2744va (VaSet
2745vasetType 1
2746fg "49152,49152,49152"
2747)
2748xt "0,0,1500,1500"
2749iconName "UnknownFile.png"
2750iconMaskName "UnknownFile.msk"
2751)
2752viewiconposition 0
2753)
2754defaultEmbeddedText (EmbeddedText
2755commentText (CommentText
2756ps "CenterOffsetStrategy"
2757shape (Rectangle
2758va (VaSet
2759vasetType 1
2760fg "65535,65535,65535"
2761lineColor "0,0,32768"
2762lineWidth 2
2763)
2764xt "0,0,18000,5000"
2765)
2766text (MLText
2767va (VaSet
2768)
2769xt "200,200,2000,1200"
2770st "
2771Text
2772"
2773tm "HdlTextMgr"
2774wrapOption 3
2775visibleHeight 4600
2776visibleWidth 17600
2777)
2778)
2779)
2780defaultGlobalConnector (GlobalConnector
2781shape (Circle
2782va (VaSet
2783vasetType 1
2784fg "65535,65535,0"
2785)
2786xt "-1000,-1000,1000,1000"
2787radius 1000
2788)
2789name (Text
2790va (VaSet
2791font "Arial,8,1"
2792)
2793xt "-500,-500,500,500"
2794st "G"
2795blo "-500,300"
2796)
2797)
2798defaultRipper (Ripper
2799ps "OnConnectorStrategy"
2800shape (Line2D
2801pts [
2802"0,0"
2803"1000,1000"
2804]
2805va (VaSet
2806vasetType 1
2807)
2808xt "0,0,1000,1000"
2809)
2810)
2811defaultBdJunction (BdJunction
2812ps "OnConnectorStrategy"
2813shape (Circle
2814va (VaSet
2815vasetType 1
2816)
2817xt "-400,-400,400,400"
2818radius 400
2819)
2820)
2821defaultPortIoIn (PortIoIn
2822shape (CompositeShape
2823va (VaSet
2824vasetType 1
2825fg "0,0,32768"
2826)
2827optionalChildren [
2828(Pentagon
2829sl 0
2830ro 270
2831xt "-2000,-375,-500,375"
2832)
2833(Line
2834sl 0
2835ro 270
2836xt "-500,0,0,0"
2837pts [
2838"-500,0"
2839"0,0"
2840]
2841)
2842]
2843)
2844stc 0
2845sf 1
2846tg (WTG
2847ps "PortIoTextPlaceStrategy"
2848stg "STSignalDisplayStrategy"
2849f (Text
2850va (VaSet
2851)
2852xt "-1375,-1000,-1375,-1000"
2853ju 2
2854blo "-1375,-1000"
2855tm "WireNameMgr"
2856)
2857)
2858)
2859defaultPortIoOut (PortIoOut
2860shape (CompositeShape
2861va (VaSet
2862vasetType 1
2863fg "0,0,32768"
2864)
2865optionalChildren [
2866(Pentagon
2867sl 0
2868ro 270
2869xt "500,-375,2000,375"
2870)
2871(Line
2872sl 0
2873ro 270
2874xt "0,0,500,0"
2875pts [
2876"0,0"
2877"500,0"
2878]
2879)
2880]
2881)
2882stc 0
2883sf 1
2884tg (WTG
2885ps "PortIoTextPlaceStrategy"
2886stg "STSignalDisplayStrategy"
2887f (Text
2888va (VaSet
2889)
2890xt "625,-1000,625,-1000"
2891blo "625,-1000"
2892tm "WireNameMgr"
2893)
2894)
2895)
2896defaultPortIoInOut (PortIoInOut
2897shape (CompositeShape
2898va (VaSet
2899vasetType 1
2900fg "0,0,32768"
2901)
2902optionalChildren [
2903(Hexagon
2904sl 0
2905xt "500,-375,2000,375"
2906)
2907(Line
2908sl 0
2909xt "0,0,500,0"
2910pts [
2911"0,0"
2912"500,0"
2913]
2914)
2915]
2916)
2917stc 0
2918sf 1
2919tg (WTG
2920ps "PortIoTextPlaceStrategy"
2921stg "STSignalDisplayStrategy"
2922f (Text
2923va (VaSet
2924)
2925xt "0,-375,0,-375"
2926blo "0,-375"
2927tm "WireNameMgr"
2928)
2929)
2930)
2931defaultPortIoBuffer (PortIoBuffer
2932shape (CompositeShape
2933va (VaSet
2934vasetType 1
2935fg "65535,65535,65535"
2936lineColor "0,0,32768"
2937)
2938optionalChildren [
2939(Hexagon
2940sl 0
2941xt "500,-375,2000,375"
2942)
2943(Line
2944sl 0
2945xt "0,0,500,0"
2946pts [
2947"0,0"
2948"500,0"
2949]
2950)
2951]
2952)
2953stc 0
2954sf 1
2955tg (WTG
2956ps "PortIoTextPlaceStrategy"
2957stg "STSignalDisplayStrategy"
2958f (Text
2959va (VaSet
2960)
2961xt "0,-375,0,-375"
2962blo "0,-375"
2963tm "WireNameMgr"
2964)
2965)
2966)
2967defaultSignal (Wire
2968shape (OrthoPolyLine
2969va (VaSet
2970vasetType 3
2971)
2972pts [
2973"0,0"
2974"0,0"
2975]
2976)
2977ss 0
2978es 0
2979sat 32
2980eat 32
2981st 0
2982sf 1
2983si 0
2984tg (WTG
2985ps "ConnStartEndStrategy"
2986stg "STSignalDisplayStrategy"
2987f (Text
2988va (VaSet
2989)
2990xt "0,0,1900,1000"
2991st "sig0"
2992blo "0,800"
2993tm "WireNameMgr"
2994)
2995)
2996)
2997defaultBus (Wire
2998shape (OrthoPolyLine
2999va (VaSet
3000vasetType 3
3001lineWidth 2
3002)
3003pts [
3004"0,0"
3005"0,0"
3006]
3007)
3008ss 0
3009es 0
3010sat 32
3011eat 32
3012sty 1
3013st 0
3014sf 1
3015si 0
3016tg (WTG
3017ps "ConnStartEndStrategy"
3018stg "STSignalDisplayStrategy"
3019f (Text
3020va (VaSet
3021)
3022xt "0,0,2400,1000"
3023st "dbus0"
3024blo "0,800"
3025tm "WireNameMgr"
3026)
3027)
3028)
3029defaultBundle (Bundle
3030shape (OrthoPolyLine
3031va (VaSet
3032vasetType 3
3033lineColor "32768,0,0"
3034lineWidth 2
3035)
3036pts [
3037"0,0"
3038"0,0"
3039]
3040)
3041ss 0
3042es 0
3043sat 32
3044eat 32
3045textGroup (BiTextGroup
3046ps "ConnStartEndStrategy"
3047stg "VerticalLayoutStrategy"
3048first (Text
3049va (VaSet
3050)
3051xt "0,0,3000,1000"
3052st "bundle0"
3053blo "0,800"
3054tm "BundleNameMgr"
3055)
3056second (MLText
3057va (VaSet
3058)
3059xt "0,1000,1000,2000"
3060st "()"
3061tm "BundleContentsMgr"
3062)
3063)
3064bundleNet &0
3065)
3066defaultPortMapFrame (PortMapFrame
3067ps "PortMapFrameStrategy"
3068shape (RectFrame
3069va (VaSet
3070vasetType 1
3071fg "65535,65535,65535"
3072lineColor "0,0,32768"
3073lineWidth 2
3074)
3075xt "0,0,10000,12000"
3076)
3077portMapText (BiTextGroup
3078ps "BottomRightOffsetStrategy"
3079stg "VerticalLayoutStrategy"
3080first (MLText
3081va (VaSet
3082)
3083)
3084second (MLText
3085va (VaSet
3086)
3087tm "PortMapTextMgr"
3088)
3089)
3090)
3091defaultGenFrame (Frame
3092shape (RectFrame
3093va (VaSet
3094vasetType 1
3095fg "65535,65535,65535"
3096lineColor "26368,26368,26368"
3097lineStyle 2
3098lineWidth 3
3099)
3100xt "0,0,20000,20000"
3101)
3102title (TextAssociate
3103ps "TopLeftStrategy"
3104text (MLText
3105va (VaSet
3106)
3107xt "0,-1100,12600,-100"
3108st "g0: FOR i IN 0 TO n GENERATE"
3109tm "FrameTitleTextMgr"
3110)
3111)
3112seqNum (FrameSequenceNumber
3113ps "TopLeftStrategy"
3114shape (Rectangle
3115va (VaSet
3116vasetType 1
3117fg "65535,65535,65535"
3118)
3119xt "50,50,1250,1450"
3120)
3121num (Text
3122va (VaSet
3123)
3124xt "250,250,1050,1250"
3125st "1"
3126blo "250,1050"
3127tm "FrameSeqNumMgr"
3128)
3129)
3130decls (MlTextGroup
3131ps "BottomRightOffsetStrategy"
3132stg "VerticalLayoutStrategy"
3133textVec [
3134*93 (Text
3135va (VaSet
3136font "Arial,8,1"
3137)
3138xt "14100,20000,22000,21000"
3139st "Frame Declarations"
3140blo "14100,20800"
3141)
3142*94 (MLText
3143va (VaSet
3144)
3145xt "14100,21000,14100,21000"
3146tm "BdFrameDeclTextMgr"
3147)
3148]
3149)
3150)
3151defaultBlockFrame (Frame
3152shape (RectFrame
3153va (VaSet
3154vasetType 1
3155fg "65535,65535,65535"
3156lineColor "26368,26368,26368"
3157lineStyle 1
3158lineWidth 3
3159)
3160xt "0,0,20000,20000"
3161)
3162title (TextAssociate
3163ps "TopLeftStrategy"
3164text (MLText
3165va (VaSet
3166)
3167xt "0,-1100,7400,-100"
3168st "b0: BLOCK (guard)"
3169tm "FrameTitleTextMgr"
3170)
3171)
3172seqNum (FrameSequenceNumber
3173ps "TopLeftStrategy"
3174shape (Rectangle
3175va (VaSet
3176vasetType 1
3177fg "65535,65535,65535"
3178)
3179xt "50,50,1250,1450"
3180)
3181num (Text
3182va (VaSet
3183)
3184xt "250,250,1050,1250"
3185st "1"
3186blo "250,1050"
3187tm "FrameSeqNumMgr"
3188)
3189)
3190decls (MlTextGroup
3191ps "BottomRightOffsetStrategy"
3192stg "VerticalLayoutStrategy"
3193textVec [
3194*95 (Text
3195va (VaSet
3196font "Arial,8,1"
3197)
3198xt "14100,20000,22000,21000"
3199st "Frame Declarations"
3200blo "14100,20800"
3201)
3202*96 (MLText
3203va (VaSet
3204)
3205xt "14100,21000,14100,21000"
3206tm "BdFrameDeclTextMgr"
3207)
3208]
3209)
3210style 3
3211)
3212defaultSaCptPort (CptPort
3213ps "OnEdgeStrategy"
3214shape (Triangle
3215ro 90
3216va (VaSet
3217vasetType 1
3218fg "0,65535,0"
3219)
3220xt "0,0,750,750"
3221)
3222tg (CPTG
3223ps "CptPortTextPlaceStrategy"
3224stg "VerticalLayoutStrategy"
3225f (Text
3226va (VaSet
3227)
3228xt "0,750,1800,1750"
3229st "Port"
3230blo "0,1550"
3231)
3232)
3233thePort (LogicalPort
3234decl (Decl
3235n "Port"
3236t ""
3237o 0
3238)
3239)
3240)
3241defaultSaCptPortBuffer (CptPort
3242ps "OnEdgeStrategy"
3243shape (Diamond
3244va (VaSet
3245vasetType 1
3246fg "65535,65535,65535"
3247)
3248xt "0,0,750,750"
3249)
3250tg (CPTG
3251ps "CptPortTextPlaceStrategy"
3252stg "VerticalLayoutStrategy"
3253f (Text
3254va (VaSet
3255)
3256xt "0,750,1800,1750"
3257st "Port"
3258blo "0,1550"
3259)
3260)
3261thePort (LogicalPort
3262m 3
3263decl (Decl
3264n "Port"
3265t ""
3266o 0
3267)
3268)
3269)
3270defaultDeclText (MLText
3271va (VaSet
3272font "Courier New,8,0"
3273)
3274)
3275archDeclarativeBlock (BdArchDeclBlock
3276uid 1,0
3277stg "BdArchDeclBlockLS"
3278declLabel (Text
3279uid 2,0
3280va (VaSet
3281font "Arial,8,1"
3282)
3283xt "20000,0,25400,1000"
3284st "Declarations"
3285blo "20000,800"
3286)
3287portLabel (Text
3288uid 3,0
3289va (VaSet
3290font "Arial,8,1"
3291)
3292xt "20000,1000,22700,2000"
3293st "Ports:"
3294blo "20000,1800"
3295)
3296preUserLabel (Text
3297uid 4,0
3298va (VaSet
3299isHidden 1
3300font "Arial,8,1"
3301)
3302xt "20000,0,23800,1000"
3303st "Pre User:"
3304blo "20000,800"
3305)
3306preUserText (MLText
3307uid 5,0
3308va (VaSet
3309isHidden 1
3310font "Courier New,8,0"
3311)
3312xt "20000,0,20000,0"
3313tm "BdDeclarativeTextMgr"
3314)
3315diagSignalLabel (Text
3316uid 6,0
3317va (VaSet
3318font "Arial,8,1"
3319)
3320xt "20000,2000,27100,3000"
3321st "Diagram Signals:"
3322blo "20000,2800"
3323)
3324postUserLabel (Text
3325uid 7,0
3326va (VaSet
3327isHidden 1
3328font "Arial,8,1"
3329)
3330xt "20000,0,24700,1000"
3331st "Post User:"
3332blo "20000,800"
3333)
3334postUserText (MLText
3335uid 8,0
3336va (VaSet
3337isHidden 1
3338font "Courier New,8,0"
3339)
3340xt "20000,0,20000,0"
3341tm "BdDeclarativeTextMgr"
3342)
3343)
3344commonDM (CommonDM
3345ldm (LogicalDM
3346suid 10,0
3347usingSuid 1
3348emptyRow *97 (LEmptyRow
3349)
3350uid 298,0
3351optionalChildren [
3352*98 (RefLabelRowHdr
3353)
3354*99 (TitleRowHdr
3355)
3356*100 (FilterRowHdr
3357)
3358*101 (RefLabelColHdr
3359tm "RefLabelColHdrMgr"
3360)
3361*102 (RowExpandColHdr
3362tm "RowExpandColHdrMgr"
3363)
3364*103 (GroupColHdr
3365tm "GroupColHdrMgr"
3366)
3367*104 (NameColHdr
3368tm "BlockDiagramNameColHdrMgr"
3369)
3370*105 (ModeColHdr
3371tm "BlockDiagramModeColHdrMgr"
3372)
3373*106 (TypeColHdr
3374tm "BlockDiagramTypeColHdrMgr"
3375)
3376*107 (BoundsColHdr
3377tm "BlockDiagramBoundsColHdrMgr"
3378)
3379*108 (InitColHdr
3380tm "BlockDiagramInitColHdrMgr"
3381)
3382*109 (EolColHdr
3383tm "BlockDiagramEolColHdrMgr"
3384)
3385*110 (LeafLogPort
3386port (LogicalPort
3387m 4
3388decl (Decl
3389n "green"
3390t "std_logic"
3391o 1
3392suid 1,0
3393)
3394)
3395uid 265,0
3396)
3397*111 (LeafLogPort
3398port (LogicalPort
3399m 4
3400decl (Decl
3401n "amber"
3402t "std_logic"
3403o 2
3404suid 2,0
3405)
3406)
3407uid 267,0
3408)
3409*112 (LeafLogPort
3410port (LogicalPort
3411m 4
3412decl (Decl
3413n "red"
3414t "std_logic"
3415o 3
3416suid 3,0
3417)
3418)
3419uid 269,0
3420)
3421*113 (LeafLogPort
3422port (LogicalPort
3423m 4
3424decl (Decl
3425n "additional_flasher_out"
3426t "std_logic"
3427o 4
3428suid 4,0
3429)
3430)
3431uid 271,0
3432)
3433*114 (LeafLogPort
3434port (LogicalPort
3435m 4
3436decl (Decl
3437n "CLK"
3438t "std_logic"
3439o 5
3440suid 5,0
3441)
3442)
3443uid 273,0
3444)
3445*115 (LeafLogPort
3446port (LogicalPort
3447m 4
3448decl (Decl
3449n "trigger"
3450t "std_logic"
3451o 6
3452suid 6,0
3453)
3454)
3455uid 275,0
3456)
3457*116 (LeafLogPort
3458port (LogicalPort
3459m 4
3460decl (Decl
3461n "refclk_too_high"
3462t "std_logic"
3463o 7
3464suid 7,0
3465)
3466)
3467uid 277,0
3468)
3469*117 (LeafLogPort
3470port (LogicalPort
3471m 4
3472decl (Decl
3473n "refclk_too_low"
3474t "std_logic"
3475o 8
3476suid 8,0
3477)
3478)
3479uid 279,0
3480)
3481*118 (LeafLogPort
3482port (LogicalPort
3483m 4
3484decl (Decl
3485n "socks_waiting"
3486t "std_logic"
3487o 9
3488suid 9,0
3489)
3490)
3491uid 281,0
3492)
3493*119 (LeafLogPort
3494port (LogicalPort
3495m 4
3496decl (Decl
3497n "socks_connected"
3498t "std_logic"
3499o 10
3500suid 10,0
3501)
3502)
3503uid 283,0
3504)
3505]
3506)
3507pdm (PhysicalDM
3508displayShortBounds 1
3509editShortBounds 1
3510uid 311,0
3511optionalChildren [
3512*120 (Sheet
3513sheetRow (SheetRow
3514headerVa (MVa
3515cellColor "49152,49152,49152"
3516fontColor "0,0,0"
3517font "Tahoma,10,0"
3518)
3519cellVa (MVa
3520cellColor "65535,65535,65535"
3521fontColor "0,0,0"
3522font "Tahoma,10,0"
3523)
3524groupVa (MVa
3525cellColor "39936,56832,65280"
3526fontColor "0,0,0"
3527font "Tahoma,10,0"
3528)
3529emptyMRCItem *121 (MRCItem
3530litem &97
3531pos 10
3532dimension 20
3533)
3534uid 313,0
3535optionalChildren [
3536*122 (MRCItem
3537litem &98
3538pos 0
3539dimension 20
3540uid 314,0
3541)
3542*123 (MRCItem
3543litem &99
3544pos 1
3545dimension 23
3546uid 315,0
3547)
3548*124 (MRCItem
3549litem &100
3550pos 2
3551hidden 1
3552dimension 20
3553uid 316,0
3554)
3555*125 (MRCItem
3556litem &110
3557pos 0
3558dimension 20
3559uid 266,0
3560)
3561*126 (MRCItem
3562litem &111
3563pos 1
3564dimension 20
3565uid 268,0
3566)
3567*127 (MRCItem
3568litem &112
3569pos 2
3570dimension 20
3571uid 270,0
3572)
3573*128 (MRCItem
3574litem &113
3575pos 3
3576dimension 20
3577uid 272,0
3578)
3579*129 (MRCItem
3580litem &114
3581pos 4
3582dimension 20
3583uid 274,0
3584)
3585*130 (MRCItem
3586litem &115
3587pos 5
3588dimension 20
3589uid 276,0
3590)
3591*131 (MRCItem
3592litem &116
3593pos 6
3594dimension 20
3595uid 278,0
3596)
3597*132 (MRCItem
3598litem &117
3599pos 7
3600dimension 20
3601uid 280,0
3602)
3603*133 (MRCItem
3604litem &118
3605pos 8
3606dimension 20
3607uid 282,0
3608)
3609*134 (MRCItem
3610litem &119
3611pos 9
3612dimension 20
3613uid 284,0
3614)
3615]
3616)
3617sheetCol (SheetCol
3618propVa (MVa
3619cellColor "0,49152,49152"
3620fontColor "0,0,0"
3621font "Tahoma,10,0"
3622textAngle 90
3623)
3624uid 317,0
3625optionalChildren [
3626*135 (MRCItem
3627litem &101
3628pos 0
3629dimension 20
3630uid 318,0
3631)
3632*136 (MRCItem
3633litem &103
3634pos 1
3635dimension 50
3636uid 319,0
3637)
3638*137 (MRCItem
3639litem &104
3640pos 2
3641dimension 100
3642uid 320,0
3643)
3644*138 (MRCItem
3645litem &105
3646pos 3
3647dimension 50
3648uid 321,0
3649)
3650*139 (MRCItem
3651litem &106
3652pos 4
3653dimension 100
3654uid 322,0
3655)
3656*140 (MRCItem
3657litem &107
3658pos 5
3659dimension 100
3660uid 323,0
3661)
3662*141 (MRCItem
3663litem &108
3664pos 6
3665dimension 50
3666uid 324,0
3667)
3668*142 (MRCItem
3669litem &109
3670pos 7
3671dimension 80
3672uid 325,0
3673)
3674]
3675)
3676fixedCol 4
3677fixedRow 2
3678name "Ports"
3679uid 312,0
3680vaOverrides [
3681]
3682)
3683]
3684)
3685uid 297,0
3686)
3687genericsCommonDM (CommonDM
3688ldm (LogicalDM
3689emptyRow *143 (LEmptyRow
3690)
3691uid 327,0
3692optionalChildren [
3693*144 (RefLabelRowHdr
3694)
3695*145 (TitleRowHdr
3696)
3697*146 (FilterRowHdr
3698)
3699*147 (RefLabelColHdr
3700tm "RefLabelColHdrMgr"
3701)
3702*148 (RowExpandColHdr
3703tm "RowExpandColHdrMgr"
3704)
3705*149 (GroupColHdr
3706tm "GroupColHdrMgr"
3707)
3708*150 (NameColHdr
3709tm "GenericNameColHdrMgr"
3710)
3711*151 (TypeColHdr
3712tm "GenericTypeColHdrMgr"
3713)
3714*152 (InitColHdr
3715tm "GenericValueColHdrMgr"
3716)
3717*153 (PragmaColHdr
3718tm "GenericPragmaColHdrMgr"
3719)
3720*154 (EolColHdr
3721tm "GenericEolColHdrMgr"
3722)
3723*155 (LogGeneric
3724generic (GiElement
3725name "HEARTBEAT_PWM_DIVIDER"
3726type "integer"
3727value "500"
3728)
3729uid 9,0
3730)
3731*156 (LogGeneric
3732generic (GiElement
3733name "WAITING_DIVIDER"
3734type "integer"
3735value "500000000"
3736)
3737uid 11,0
3738)
3739]
3740)
3741pdm (PhysicalDM
3742displayShortBounds 1
3743editShortBounds 1
3744uid 339,0
3745optionalChildren [
3746*157 (Sheet
3747sheetRow (SheetRow
3748headerVa (MVa
3749cellColor "49152,49152,49152"
3750fontColor "0,0,0"
3751font "Tahoma,10,0"
3752)
3753cellVa (MVa
3754cellColor "65535,65535,65535"
3755fontColor "0,0,0"
3756font "Tahoma,10,0"
3757)
3758groupVa (MVa
3759cellColor "39936,56832,65280"
3760fontColor "0,0,0"
3761font "Tahoma,10,0"
3762)
3763emptyMRCItem *158 (MRCItem
3764litem &143
3765pos 2
3766dimension 20
3767)
3768uid 341,0
3769optionalChildren [
3770*159 (MRCItem
3771litem &144
3772pos 0
3773dimension 20
3774uid 342,0
3775)
3776*160 (MRCItem
3777litem &145
3778pos 1
3779dimension 23
3780uid 343,0
3781)
3782*161 (MRCItem
3783litem &146
3784pos 2
3785hidden 1
3786dimension 20
3787uid 344,0
3788)
3789*162 (MRCItem
3790litem &155
3791pos 0
3792dimension 20
3793uid 10,0
3794)
3795*163 (MRCItem
3796litem &156
3797pos 1
3798dimension 20
3799uid 12,0
3800)
3801]
3802)
3803sheetCol (SheetCol
3804propVa (MVa
3805cellColor "0,49152,49152"
3806fontColor "0,0,0"
3807font "Tahoma,10,0"
3808textAngle 90
3809)
3810uid 345,0
3811optionalChildren [
3812*164 (MRCItem
3813litem &147
3814pos 0
3815dimension 20
3816uid 346,0
3817)
3818*165 (MRCItem
3819litem &149
3820pos 1
3821dimension 50
3822uid 347,0
3823)
3824*166 (MRCItem
3825litem &150
3826pos 2
3827dimension 100
3828uid 348,0
3829)
3830*167 (MRCItem
3831litem &151
3832pos 3
3833dimension 100
3834uid 349,0
3835)
3836*168 (MRCItem
3837litem &152
3838pos 4
3839dimension 50
3840uid 350,0
3841)
3842*169 (MRCItem
3843litem &153
3844pos 5
3845dimension 50
3846uid 351,0
3847)
3848*170 (MRCItem
3849litem &154
3850pos 6
3851dimension 80
3852uid 352,0
3853)
3854]
3855)
3856fixedCol 3
3857fixedRow 2
3858name "Ports"
3859uid 340,0
3860vaOverrides [
3861]
3862)
3863]
3864)
3865uid 326,0
3866type 1
3867)
3868activeModelName "BlockDiag"
3869)
Note: See TracBrowser for help on using the repository browser.