| 1 | DocumentHdrVersion "1.1"
|
|---|
| 2 | Header (DocumentHdr
|
|---|
| 3 | version 2
|
|---|
| 4 | dmPackageRefs [
|
|---|
| 5 | (DmPackageRef
|
|---|
| 6 | library "ieee"
|
|---|
| 7 | unitName "std_logic_1164"
|
|---|
| 8 | itemName "ALL"
|
|---|
| 9 | )
|
|---|
| 10 | (DmPackageRef
|
|---|
| 11 | library "ieee"
|
|---|
| 12 | unitName "std_logic_arith"
|
|---|
| 13 | itemName "ALL"
|
|---|
| 14 | )
|
|---|
| 15 | (DmPackageRef
|
|---|
| 16 | library "ieee"
|
|---|
| 17 | unitName "STD_LOGIC_UNSIGNED"
|
|---|
| 18 | itemName "ALL"
|
|---|
| 19 | )
|
|---|
| 20 | (DmPackageRef
|
|---|
| 21 | library "FACT_FAD_lib"
|
|---|
| 22 | unitName "fad_definitions"
|
|---|
| 23 | itemName "ALL"
|
|---|
| 24 | )
|
|---|
| 25 | ]
|
|---|
| 26 | instances [
|
|---|
| 27 | (Instance
|
|---|
| 28 | name "U_0"
|
|---|
| 29 | duLibraryName "FACT_FAD_lib"
|
|---|
| 30 | duName "led_controller"
|
|---|
| 31 | elements [
|
|---|
| 32 | (GiElement
|
|---|
| 33 | name "HEARTBEAT_PWM_DIVIDER"
|
|---|
| 34 | type "integer"
|
|---|
| 35 | value "500"
|
|---|
| 36 | )
|
|---|
| 37 | (GiElement
|
|---|
| 38 | name "WAITING_DIVIDER"
|
|---|
| 39 | type "integer"
|
|---|
| 40 | value "500000000"
|
|---|
| 41 | )
|
|---|
| 42 | ]
|
|---|
| 43 | mwi 0
|
|---|
| 44 | uid 53,0
|
|---|
| 45 | )
|
|---|
| 46 | (Instance
|
|---|
| 47 | name "U_1"
|
|---|
| 48 | duLibraryName "FACT_FAD_TB_lib"
|
|---|
| 49 | duName "led_controller_tester"
|
|---|
| 50 | elements [
|
|---|
| 51 | ]
|
|---|
| 52 | mwi 0
|
|---|
| 53 | uid 143,0
|
|---|
| 54 | )
|
|---|
| 55 | (Instance
|
|---|
| 56 | name "U_2"
|
|---|
| 57 | duLibraryName "FACT_FAD_TB_lib"
|
|---|
| 58 | duName "clock_generator"
|
|---|
| 59 | elements [
|
|---|
| 60 | (GiElement
|
|---|
| 61 | name "clock_period"
|
|---|
| 62 | type "time"
|
|---|
| 63 | value "20 ns"
|
|---|
| 64 | )
|
|---|
| 65 | (GiElement
|
|---|
| 66 | name "reset_time"
|
|---|
| 67 | type "time"
|
|---|
| 68 | value "50 ns"
|
|---|
| 69 | )
|
|---|
| 70 | ]
|
|---|
| 71 | mwi 0
|
|---|
| 72 | uid 424,0
|
|---|
| 73 | )
|
|---|
| 74 | ]
|
|---|
| 75 | libraryRefs [
|
|---|
| 76 | "ieee"
|
|---|
| 77 | "FACT_FAD_lib"
|
|---|
| 78 | ]
|
|---|
| 79 | )
|
|---|
| 80 | version "29.1"
|
|---|
| 81 | appVersion "2009.1 (Build 12)"
|
|---|
| 82 | noEmbeddedEditors 1
|
|---|
| 83 | model (BlockDiag
|
|---|
| 84 | VExpander (VariableExpander
|
|---|
| 85 | vvMap [
|
|---|
| 86 | (vvPair
|
|---|
| 87 | variable "HDLDir"
|
|---|
| 88 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
|
|---|
| 89 | )
|
|---|
| 90 | (vvPair
|
|---|
| 91 | variable "HDSDir"
|
|---|
| 92 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
|
|---|
| 93 | )
|
|---|
| 94 | (vvPair
|
|---|
| 95 | variable "SideDataDesignDir"
|
|---|
| 96 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb\\struct.bd.info"
|
|---|
| 97 | )
|
|---|
| 98 | (vvPair
|
|---|
| 99 | variable "SideDataUserDir"
|
|---|
| 100 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb\\struct.bd.user"
|
|---|
| 101 | )
|
|---|
| 102 | (vvPair
|
|---|
| 103 | variable "SourceDir"
|
|---|
| 104 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
|
|---|
| 105 | )
|
|---|
| 106 | (vvPair
|
|---|
| 107 | variable "appl"
|
|---|
| 108 | value "HDL Designer"
|
|---|
| 109 | )
|
|---|
| 110 | (vvPair
|
|---|
| 111 | variable "arch_name"
|
|---|
| 112 | value "struct"
|
|---|
| 113 | )
|
|---|
| 114 | (vvPair
|
|---|
| 115 | variable "config"
|
|---|
| 116 | value "%(unit)_%(view)_config"
|
|---|
| 117 | )
|
|---|
| 118 | (vvPair
|
|---|
| 119 | variable "d"
|
|---|
| 120 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb"
|
|---|
| 121 | )
|
|---|
| 122 | (vvPair
|
|---|
| 123 | variable "d_logical"
|
|---|
| 124 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb"
|
|---|
| 125 | )
|
|---|
| 126 | (vvPair
|
|---|
| 127 | variable "date"
|
|---|
| 128 | value "02.03.2011"
|
|---|
| 129 | )
|
|---|
| 130 | (vvPair
|
|---|
| 131 | variable "day"
|
|---|
| 132 | value "Mi"
|
|---|
| 133 | )
|
|---|
| 134 | (vvPair
|
|---|
| 135 | variable "day_long"
|
|---|
| 136 | value "Mittwoch"
|
|---|
| 137 | )
|
|---|
| 138 | (vvPair
|
|---|
| 139 | variable "dd"
|
|---|
| 140 | value "02"
|
|---|
| 141 | )
|
|---|
| 142 | (vvPair
|
|---|
| 143 | variable "entity_name"
|
|---|
| 144 | value "led_controller_tb"
|
|---|
| 145 | )
|
|---|
| 146 | (vvPair
|
|---|
| 147 | variable "ext"
|
|---|
| 148 | value "<TBD>"
|
|---|
| 149 | )
|
|---|
| 150 | (vvPair
|
|---|
| 151 | variable "f"
|
|---|
| 152 | value "struct.bd"
|
|---|
| 153 | )
|
|---|
| 154 | (vvPair
|
|---|
| 155 | variable "f_logical"
|
|---|
| 156 | value "struct.bd"
|
|---|
| 157 | )
|
|---|
| 158 | (vvPair
|
|---|
| 159 | variable "f_noext"
|
|---|
| 160 | value "struct"
|
|---|
| 161 | )
|
|---|
| 162 | (vvPair
|
|---|
| 163 | variable "group"
|
|---|
| 164 | value "UNKNOWN"
|
|---|
| 165 | )
|
|---|
| 166 | (vvPair
|
|---|
| 167 | variable "host"
|
|---|
| 168 | value "IHP110"
|
|---|
| 169 | )
|
|---|
| 170 | (vvPair
|
|---|
| 171 | variable "language"
|
|---|
| 172 | value "VHDL"
|
|---|
| 173 | )
|
|---|
| 174 | (vvPair
|
|---|
| 175 | variable "library"
|
|---|
| 176 | value "FACT_FAD_TB_lib"
|
|---|
| 177 | )
|
|---|
| 178 | (vvPair
|
|---|
| 179 | variable "library_downstream_HdsLintPlugin"
|
|---|
| 180 | value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/designcheck"
|
|---|
| 181 | )
|
|---|
| 182 | (vvPair
|
|---|
| 183 | variable "library_downstream_ISEPARInvoke"
|
|---|
| 184 | value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
|
|---|
| 185 | )
|
|---|
| 186 | (vvPair
|
|---|
| 187 | variable "library_downstream_ImpactInvoke"
|
|---|
| 188 | value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
|
|---|
| 189 | )
|
|---|
| 190 | (vvPair
|
|---|
| 191 | variable "library_downstream_ModelSimCompiler"
|
|---|
| 192 | value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
|
|---|
| 193 | )
|
|---|
| 194 | (vvPair
|
|---|
| 195 | variable "library_downstream_XSTDataPrep"
|
|---|
| 196 | value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
|
|---|
| 197 | )
|
|---|
| 198 | (vvPair
|
|---|
| 199 | variable "mm"
|
|---|
| 200 | value "03"
|
|---|
| 201 | )
|
|---|
| 202 | (vvPair
|
|---|
| 203 | variable "module_name"
|
|---|
| 204 | value "led_controller_tb"
|
|---|
| 205 | )
|
|---|
| 206 | (vvPair
|
|---|
| 207 | variable "month"
|
|---|
| 208 | value "Mrz"
|
|---|
| 209 | )
|
|---|
| 210 | (vvPair
|
|---|
| 211 | variable "month_long"
|
|---|
| 212 | value "März"
|
|---|
| 213 | )
|
|---|
| 214 | (vvPair
|
|---|
| 215 | variable "p"
|
|---|
| 216 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb\\struct.bd"
|
|---|
| 217 | )
|
|---|
| 218 | (vvPair
|
|---|
| 219 | variable "p_logical"
|
|---|
| 220 | value "D:\\firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\led_controller_tb\\struct.bd"
|
|---|
| 221 | )
|
|---|
| 222 | (vvPair
|
|---|
| 223 | variable "package_name"
|
|---|
| 224 | value "<Undefined Variable>"
|
|---|
| 225 | )
|
|---|
| 226 | (vvPair
|
|---|
| 227 | variable "project_name"
|
|---|
| 228 | value "FACT_FAD"
|
|---|
| 229 | )
|
|---|
| 230 | (vvPair
|
|---|
| 231 | variable "series"
|
|---|
| 232 | value "HDL Designer Series"
|
|---|
| 233 | )
|
|---|
| 234 | (vvPair
|
|---|
| 235 | variable "task_DesignCompilerPath"
|
|---|
| 236 | value "<TBD>"
|
|---|
| 237 | )
|
|---|
| 238 | (vvPair
|
|---|
| 239 | variable "task_LeonardoPath"
|
|---|
| 240 | value "<TBD>"
|
|---|
| 241 | )
|
|---|
| 242 | (vvPair
|
|---|
| 243 | variable "task_ModelSimPath"
|
|---|
| 244 | value "D:\\modeltech_6.5e\\win32"
|
|---|
| 245 | )
|
|---|
| 246 | (vvPair
|
|---|
| 247 | variable "task_NC-SimPath"
|
|---|
| 248 | value "<TBD>"
|
|---|
| 249 | )
|
|---|
| 250 | (vvPair
|
|---|
| 251 | variable "task_PrecisionRTLPath"
|
|---|
| 252 | value "<TBD>"
|
|---|
| 253 | )
|
|---|
| 254 | (vvPair
|
|---|
| 255 | variable "task_QuestaSimPath"
|
|---|
| 256 | value "<TBD>"
|
|---|
| 257 | )
|
|---|
| 258 | (vvPair
|
|---|
| 259 | variable "task_VCSPath"
|
|---|
| 260 | value "<TBD>"
|
|---|
| 261 | )
|
|---|
| 262 | (vvPair
|
|---|
| 263 | variable "this_ext"
|
|---|
| 264 | value "bd"
|
|---|
| 265 | )
|
|---|
| 266 | (vvPair
|
|---|
| 267 | variable "this_file"
|
|---|
| 268 | value "struct"
|
|---|
| 269 | )
|
|---|
| 270 | (vvPair
|
|---|
| 271 | variable "this_file_logical"
|
|---|
| 272 | value "struct"
|
|---|
| 273 | )
|
|---|
| 274 | (vvPair
|
|---|
| 275 | variable "time"
|
|---|
| 276 | value "09:54:46"
|
|---|
| 277 | )
|
|---|
| 278 | (vvPair
|
|---|
| 279 | variable "unit"
|
|---|
| 280 | value "led_controller_tb"
|
|---|
| 281 | )
|
|---|
| 282 | (vvPair
|
|---|
| 283 | variable "user"
|
|---|
| 284 | value "daqct3"
|
|---|
| 285 | )
|
|---|
| 286 | (vvPair
|
|---|
| 287 | variable "version"
|
|---|
| 288 | value "2009.1 (Build 12)"
|
|---|
| 289 | )
|
|---|
| 290 | (vvPair
|
|---|
| 291 | variable "view"
|
|---|
| 292 | value "struct"
|
|---|
| 293 | )
|
|---|
| 294 | (vvPair
|
|---|
| 295 | variable "year"
|
|---|
| 296 | value "2011"
|
|---|
| 297 | )
|
|---|
| 298 | (vvPair
|
|---|
| 299 | variable "yy"
|
|---|
| 300 | value "11"
|
|---|
| 301 | )
|
|---|
| 302 | ]
|
|---|
| 303 | )
|
|---|
| 304 | LanguageMgr "VhdlLangMgr"
|
|---|
| 305 | uid 296,0
|
|---|
| 306 | optionalChildren [
|
|---|
| 307 | *1 (SaComponent
|
|---|
| 308 | uid 53,0
|
|---|
| 309 | optionalChildren [
|
|---|
| 310 | *2 (CptPort
|
|---|
| 311 | uid 13,0
|
|---|
| 312 | ps "OnEdgeStrategy"
|
|---|
| 313 | shape (Triangle
|
|---|
| 314 | uid 14,0
|
|---|
| 315 | ro 90
|
|---|
| 316 | va (VaSet
|
|---|
| 317 | vasetType 1
|
|---|
| 318 | fg "0,65535,0"
|
|---|
| 319 | )
|
|---|
| 320 | xt "-750,15625,0,16375"
|
|---|
| 321 | )
|
|---|
| 322 | tg (CPTG
|
|---|
| 323 | uid 15,0
|
|---|
| 324 | ps "CptPortTextPlaceStrategy"
|
|---|
| 325 | stg "VerticalLayoutStrategy"
|
|---|
| 326 | f (Text
|
|---|
| 327 | uid 16,0
|
|---|
| 328 | va (VaSet
|
|---|
| 329 | )
|
|---|
| 330 | xt "1000,15500,2900,16500"
|
|---|
| 331 | st "CLK"
|
|---|
| 332 | blo "1000,16300"
|
|---|
| 333 | )
|
|---|
| 334 | )
|
|---|
| 335 | thePort (LogicalPort
|
|---|
| 336 | decl (Decl
|
|---|
| 337 | n "CLK"
|
|---|
| 338 | t "std_logic"
|
|---|
| 339 | o 1
|
|---|
| 340 | )
|
|---|
| 341 | )
|
|---|
| 342 | )
|
|---|
| 343 | *3 (CptPort
|
|---|
| 344 | uid 17,0
|
|---|
| 345 | ps "OnEdgeStrategy"
|
|---|
| 346 | shape (Triangle
|
|---|
| 347 | uid 18,0
|
|---|
| 348 | ro 90
|
|---|
| 349 | va (VaSet
|
|---|
| 350 | vasetType 1
|
|---|
| 351 | fg "0,65535,0"
|
|---|
| 352 | )
|
|---|
| 353 | xt "18000,15625,18750,16375"
|
|---|
| 354 | )
|
|---|
| 355 | tg (CPTG
|
|---|
| 356 | uid 19,0
|
|---|
| 357 | ps "CptPortTextPlaceStrategy"
|
|---|
| 358 | stg "RightVerticalLayoutStrategy"
|
|---|
| 359 | f (Text
|
|---|
| 360 | uid 20,0
|
|---|
| 361 | va (VaSet
|
|---|
| 362 | )
|
|---|
| 363 | xt "14600,15500,17000,16500"
|
|---|
| 364 | st "green"
|
|---|
| 365 | ju 2
|
|---|
| 366 | blo "17000,16300"
|
|---|
| 367 | )
|
|---|
| 368 | )
|
|---|
| 369 | thePort (LogicalPort
|
|---|
| 370 | m 1
|
|---|
| 371 | decl (Decl
|
|---|
| 372 | n "green"
|
|---|
| 373 | t "std_logic"
|
|---|
| 374 | o 2
|
|---|
| 375 | )
|
|---|
| 376 | )
|
|---|
| 377 | )
|
|---|
| 378 | *4 (CptPort
|
|---|
| 379 | uid 21,0
|
|---|
| 380 | ps "OnEdgeStrategy"
|
|---|
| 381 | shape (Triangle
|
|---|
| 382 | uid 22,0
|
|---|
| 383 | ro 90
|
|---|
| 384 | va (VaSet
|
|---|
| 385 | vasetType 1
|
|---|
| 386 | fg "0,65535,0"
|
|---|
| 387 | )
|
|---|
| 388 | xt "18000,16625,18750,17375"
|
|---|
| 389 | )
|
|---|
| 390 | tg (CPTG
|
|---|
| 391 | uid 23,0
|
|---|
| 392 | ps "CptPortTextPlaceStrategy"
|
|---|
| 393 | stg "RightVerticalLayoutStrategy"
|
|---|
| 394 | f (Text
|
|---|
| 395 | uid 24,0
|
|---|
| 396 | va (VaSet
|
|---|
| 397 | )
|
|---|
| 398 | xt "14500,16500,17000,17500"
|
|---|
| 399 | st "amber"
|
|---|
| 400 | ju 2
|
|---|
| 401 | blo "17000,17300"
|
|---|
| 402 | )
|
|---|
| 403 | )
|
|---|
| 404 | thePort (LogicalPort
|
|---|
| 405 | m 1
|
|---|
| 406 | decl (Decl
|
|---|
| 407 | n "amber"
|
|---|
| 408 | t "std_logic"
|
|---|
| 409 | o 3
|
|---|
| 410 | )
|
|---|
| 411 | )
|
|---|
| 412 | )
|
|---|
| 413 | *5 (CptPort
|
|---|
| 414 | uid 25,0
|
|---|
| 415 | ps "OnEdgeStrategy"
|
|---|
| 416 | shape (Triangle
|
|---|
| 417 | uid 26,0
|
|---|
| 418 | ro 90
|
|---|
| 419 | va (VaSet
|
|---|
| 420 | vasetType 1
|
|---|
| 421 | fg "0,65535,0"
|
|---|
| 422 | )
|
|---|
| 423 | xt "18000,17625,18750,18375"
|
|---|
| 424 | )
|
|---|
| 425 | tg (CPTG
|
|---|
| 426 | uid 27,0
|
|---|
| 427 | ps "CptPortTextPlaceStrategy"
|
|---|
| 428 | stg "RightVerticalLayoutStrategy"
|
|---|
| 429 | f (Text
|
|---|
| 430 | uid 28,0
|
|---|
| 431 | va (VaSet
|
|---|
| 432 | )
|
|---|
| 433 | xt "15500,17500,17000,18500"
|
|---|
| 434 | st "red"
|
|---|
| 435 | ju 2
|
|---|
| 436 | blo "17000,18300"
|
|---|
| 437 | )
|
|---|
| 438 | )
|
|---|
| 439 | thePort (LogicalPort
|
|---|
| 440 | m 1
|
|---|
| 441 | decl (Decl
|
|---|
| 442 | n "red"
|
|---|
| 443 | t "std_logic"
|
|---|
| 444 | o 4
|
|---|
| 445 | )
|
|---|
| 446 | )
|
|---|
| 447 | )
|
|---|
| 448 | *6 (CptPort
|
|---|
| 449 | uid 29,0
|
|---|
| 450 | ps "OnEdgeStrategy"
|
|---|
| 451 | shape (Triangle
|
|---|
| 452 | uid 30,0
|
|---|
| 453 | ro 90
|
|---|
| 454 | va (VaSet
|
|---|
| 455 | vasetType 1
|
|---|
| 456 | fg "0,65535,0"
|
|---|
| 457 | )
|
|---|
| 458 | xt "18000,18625,18750,19375"
|
|---|
| 459 | )
|
|---|
| 460 | tg (CPTG
|
|---|
| 461 | uid 31,0
|
|---|
| 462 | ps "CptPortTextPlaceStrategy"
|
|---|
| 463 | stg "RightVerticalLayoutStrategy"
|
|---|
| 464 | f (Text
|
|---|
| 465 | uid 32,0
|
|---|
| 466 | va (VaSet
|
|---|
| 467 | )
|
|---|
| 468 | xt "8500,18500,17000,19500"
|
|---|
| 469 | st "additional_flasher_out"
|
|---|
| 470 | ju 2
|
|---|
| 471 | blo "17000,19300"
|
|---|
| 472 | )
|
|---|
| 473 | )
|
|---|
| 474 | thePort (LogicalPort
|
|---|
| 475 | m 1
|
|---|
| 476 | decl (Decl
|
|---|
| 477 | n "additional_flasher_out"
|
|---|
| 478 | t "std_logic"
|
|---|
| 479 | o 5
|
|---|
| 480 | )
|
|---|
| 481 | )
|
|---|
| 482 | )
|
|---|
| 483 | *7 (CptPort
|
|---|
| 484 | uid 33,0
|
|---|
| 485 | ps "OnEdgeStrategy"
|
|---|
| 486 | shape (Triangle
|
|---|
| 487 | uid 34,0
|
|---|
| 488 | ro 90
|
|---|
| 489 | va (VaSet
|
|---|
| 490 | vasetType 1
|
|---|
| 491 | fg "0,65535,0"
|
|---|
| 492 | )
|
|---|
| 493 | xt "-750,16625,0,17375"
|
|---|
| 494 | )
|
|---|
| 495 | tg (CPTG
|
|---|
| 496 | uid 35,0
|
|---|
| 497 | ps "CptPortTextPlaceStrategy"
|
|---|
| 498 | stg "VerticalLayoutStrategy"
|
|---|
| 499 | f (Text
|
|---|
| 500 | uid 36,0
|
|---|
| 501 | va (VaSet
|
|---|
| 502 | )
|
|---|
| 503 | xt "1000,16500,3800,17500"
|
|---|
| 504 | st "trigger"
|
|---|
| 505 | blo "1000,17300"
|
|---|
| 506 | )
|
|---|
| 507 | )
|
|---|
| 508 | thePort (LogicalPort
|
|---|
| 509 | decl (Decl
|
|---|
| 510 | n "trigger"
|
|---|
| 511 | t "std_logic"
|
|---|
| 512 | o 6
|
|---|
| 513 | )
|
|---|
| 514 | )
|
|---|
| 515 | )
|
|---|
| 516 | *8 (CptPort
|
|---|
| 517 | uid 37,0
|
|---|
| 518 | ps "OnEdgeStrategy"
|
|---|
| 519 | shape (Triangle
|
|---|
| 520 | uid 38,0
|
|---|
| 521 | ro 90
|
|---|
| 522 | va (VaSet
|
|---|
| 523 | vasetType 1
|
|---|
| 524 | fg "0,65535,0"
|
|---|
| 525 | )
|
|---|
| 526 | xt "-750,17625,0,18375"
|
|---|
| 527 | )
|
|---|
| 528 | tg (CPTG
|
|---|
| 529 | uid 39,0
|
|---|
| 530 | ps "CptPortTextPlaceStrategy"
|
|---|
| 531 | stg "VerticalLayoutStrategy"
|
|---|
| 532 | f (Text
|
|---|
| 533 | uid 40,0
|
|---|
| 534 | va (VaSet
|
|---|
| 535 | )
|
|---|
| 536 | xt "1000,17500,6900,18500"
|
|---|
| 537 | st "refclk_too_high"
|
|---|
| 538 | blo "1000,18300"
|
|---|
| 539 | )
|
|---|
| 540 | )
|
|---|
| 541 | thePort (LogicalPort
|
|---|
| 542 | decl (Decl
|
|---|
| 543 | n "refclk_too_high"
|
|---|
| 544 | t "std_logic"
|
|---|
| 545 | o 7
|
|---|
| 546 | )
|
|---|
| 547 | )
|
|---|
| 548 | )
|
|---|
| 549 | *9 (CptPort
|
|---|
| 550 | uid 41,0
|
|---|
| 551 | ps "OnEdgeStrategy"
|
|---|
| 552 | shape (Triangle
|
|---|
| 553 | uid 42,0
|
|---|
| 554 | ro 90
|
|---|
| 555 | va (VaSet
|
|---|
| 556 | vasetType 1
|
|---|
| 557 | fg "0,65535,0"
|
|---|
| 558 | )
|
|---|
| 559 | xt "-750,18625,0,19375"
|
|---|
| 560 | )
|
|---|
| 561 | tg (CPTG
|
|---|
| 562 | uid 43,0
|
|---|
| 563 | ps "CptPortTextPlaceStrategy"
|
|---|
| 564 | stg "VerticalLayoutStrategy"
|
|---|
| 565 | f (Text
|
|---|
| 566 | uid 44,0
|
|---|
| 567 | va (VaSet
|
|---|
| 568 | )
|
|---|
| 569 | xt "1000,18500,6500,19500"
|
|---|
| 570 | st "refclk_too_low"
|
|---|
| 571 | blo "1000,19300"
|
|---|
| 572 | )
|
|---|
| 573 | )
|
|---|
| 574 | thePort (LogicalPort
|
|---|
| 575 | decl (Decl
|
|---|
| 576 | n "refclk_too_low"
|
|---|
| 577 | t "std_logic"
|
|---|
| 578 | o 8
|
|---|
| 579 | )
|
|---|
| 580 | )
|
|---|
| 581 | )
|
|---|
| 582 | *10 (CptPort
|
|---|
| 583 | uid 45,0
|
|---|
| 584 | ps "OnEdgeStrategy"
|
|---|
| 585 | shape (Triangle
|
|---|
| 586 | uid 46,0
|
|---|
| 587 | ro 90
|
|---|
| 588 | va (VaSet
|
|---|
| 589 | vasetType 1
|
|---|
| 590 | fg "0,65535,0"
|
|---|
| 591 | )
|
|---|
| 592 | xt "-750,19625,0,20375"
|
|---|
| 593 | )
|
|---|
| 594 | tg (CPTG
|
|---|
| 595 | uid 47,0
|
|---|
| 596 | ps "CptPortTextPlaceStrategy"
|
|---|
| 597 | stg "VerticalLayoutStrategy"
|
|---|
| 598 | f (Text
|
|---|
| 599 | uid 48,0
|
|---|
| 600 | va (VaSet
|
|---|
| 601 | )
|
|---|
| 602 | xt "1000,19500,6500,20500"
|
|---|
| 603 | st "socks_waiting"
|
|---|
| 604 | blo "1000,20300"
|
|---|
| 605 | )
|
|---|
| 606 | )
|
|---|
| 607 | thePort (LogicalPort
|
|---|
| 608 | decl (Decl
|
|---|
| 609 | n "socks_waiting"
|
|---|
| 610 | t "std_logic"
|
|---|
| 611 | o 9
|
|---|
| 612 | )
|
|---|
| 613 | )
|
|---|
| 614 | )
|
|---|
| 615 | *11 (CptPort
|
|---|
| 616 | uid 49,0
|
|---|
| 617 | ps "OnEdgeStrategy"
|
|---|
| 618 | shape (Triangle
|
|---|
| 619 | uid 50,0
|
|---|
| 620 | ro 90
|
|---|
| 621 | va (VaSet
|
|---|
| 622 | vasetType 1
|
|---|
| 623 | fg "0,65535,0"
|
|---|
| 624 | )
|
|---|
| 625 | xt "-750,20625,0,21375"
|
|---|
| 626 | )
|
|---|
| 627 | tg (CPTG
|
|---|
| 628 | uid 51,0
|
|---|
| 629 | ps "CptPortTextPlaceStrategy"
|
|---|
| 630 | stg "VerticalLayoutStrategy"
|
|---|
| 631 | f (Text
|
|---|
| 632 | uid 52,0
|
|---|
| 633 | va (VaSet
|
|---|
| 634 | )
|
|---|
| 635 | xt "1000,20500,7500,21500"
|
|---|
| 636 | st "socks_connected"
|
|---|
| 637 | blo "1000,21300"
|
|---|
| 638 | )
|
|---|
| 639 | )
|
|---|
| 640 | thePort (LogicalPort
|
|---|
| 641 | decl (Decl
|
|---|
| 642 | n "socks_connected"
|
|---|
| 643 | t "std_logic"
|
|---|
| 644 | o 10
|
|---|
| 645 | )
|
|---|
| 646 | )
|
|---|
| 647 | )
|
|---|
| 648 | ]
|
|---|
| 649 | shape (Rectangle
|
|---|
| 650 | uid 54,0
|
|---|
| 651 | va (VaSet
|
|---|
| 652 | vasetType 1
|
|---|
| 653 | fg "0,65535,0"
|
|---|
| 654 | lineColor "0,32896,0"
|
|---|
| 655 | lineWidth 2
|
|---|
| 656 | )
|
|---|
| 657 | xt "0,15000,18000,22000"
|
|---|
| 658 | )
|
|---|
| 659 | ttg (MlTextGroup
|
|---|
| 660 | uid 55,0
|
|---|
| 661 | ps "CenterOffsetStrategy"
|
|---|
| 662 | stg "VerticalLayoutStrategy"
|
|---|
| 663 | textVec [
|
|---|
| 664 | *12 (Text
|
|---|
| 665 | uid 56,0
|
|---|
| 666 | va (VaSet
|
|---|
| 667 | font "Arial,8,1"
|
|---|
| 668 | )
|
|---|
| 669 | xt "5900,22000,12100,23000"
|
|---|
| 670 | st "FACT_FAD_lib"
|
|---|
| 671 | blo "5900,22800"
|
|---|
| 672 | tm "BdLibraryNameMgr"
|
|---|
| 673 | )
|
|---|
| 674 | *13 (Text
|
|---|
| 675 | uid 57,0
|
|---|
| 676 | va (VaSet
|
|---|
| 677 | font "Arial,8,1"
|
|---|
| 678 | )
|
|---|
| 679 | xt "5900,23000,11800,24000"
|
|---|
| 680 | st "led_controller"
|
|---|
| 681 | blo "5900,23800"
|
|---|
| 682 | tm "CptNameMgr"
|
|---|
| 683 | )
|
|---|
| 684 | *14 (Text
|
|---|
| 685 | uid 58,0
|
|---|
| 686 | va (VaSet
|
|---|
| 687 | font "Arial,8,1"
|
|---|
| 688 | )
|
|---|
| 689 | xt "5900,24000,7700,25000"
|
|---|
| 690 | st "U_0"
|
|---|
| 691 | blo "5900,24800"
|
|---|
| 692 | tm "InstanceNameMgr"
|
|---|
| 693 | )
|
|---|
| 694 | ]
|
|---|
| 695 | )
|
|---|
| 696 | ga (GenericAssociation
|
|---|
| 697 | uid 59,0
|
|---|
| 698 | ps "EdgeToEdgeStrategy"
|
|---|
| 699 | matrix (Matrix
|
|---|
| 700 | uid 60,0
|
|---|
| 701 | text (MLText
|
|---|
| 702 | uid 61,0
|
|---|
| 703 | va (VaSet
|
|---|
| 704 | font "Courier New,8,0"
|
|---|
| 705 | )
|
|---|
| 706 | xt "0,13400,27000,15000"
|
|---|
| 707 | st "HEARTBEAT_PWM_DIVIDER = 500 ( integer )
|
|---|
| 708 | WAITING_DIVIDER = 500000000 ( integer ) "
|
|---|
| 709 | )
|
|---|
| 710 | header ""
|
|---|
| 711 | )
|
|---|
| 712 | elements [
|
|---|
| 713 | (GiElement
|
|---|
| 714 | name "HEARTBEAT_PWM_DIVIDER"
|
|---|
| 715 | type "integer"
|
|---|
| 716 | value "500"
|
|---|
| 717 | )
|
|---|
| 718 | (GiElement
|
|---|
| 719 | name "WAITING_DIVIDER"
|
|---|
| 720 | type "integer"
|
|---|
| 721 | value "500000000"
|
|---|
| 722 | )
|
|---|
| 723 | ]
|
|---|
| 724 | )
|
|---|
| 725 | viewicon (ZoomableIcon
|
|---|
| 726 | uid 62,0
|
|---|
| 727 | sl 0
|
|---|
| 728 | va (VaSet
|
|---|
| 729 | vasetType 1
|
|---|
| 730 | fg "49152,49152,49152"
|
|---|
| 731 | )
|
|---|
| 732 | xt "250,20250,1750,21750"
|
|---|
| 733 | iconName "VhdlFileViewIcon.png"
|
|---|
| 734 | iconMaskName "VhdlFileViewIcon.msk"
|
|---|
| 735 | ftype 10
|
|---|
| 736 | )
|
|---|
| 737 | ordering 1
|
|---|
| 738 | viewiconposition 0
|
|---|
| 739 | portVis (PortSigDisplay
|
|---|
| 740 | )
|
|---|
| 741 | archType 1
|
|---|
| 742 | archFileType "UNKNOWN"
|
|---|
| 743 | )
|
|---|
| 744 | *15 (Net
|
|---|
| 745 | uid 63,0
|
|---|
| 746 | decl (Decl
|
|---|
| 747 | n "green"
|
|---|
| 748 | t "std_logic"
|
|---|
| 749 | o 1
|
|---|
| 750 | suid 1,0
|
|---|
| 751 | )
|
|---|
| 752 | declText (MLText
|
|---|
| 753 | uid 64,0
|
|---|
| 754 | va (VaSet
|
|---|
| 755 | font "Courier New,8,0"
|
|---|
| 756 | )
|
|---|
| 757 | xt "22000,5400,44500,6200"
|
|---|
| 758 | st "SIGNAL green : std_logic
|
|---|
| 759 | "
|
|---|
| 760 | )
|
|---|
| 761 | )
|
|---|
| 762 | *16 (Net
|
|---|
| 763 | uid 71,0
|
|---|
| 764 | decl (Decl
|
|---|
| 765 | n "amber"
|
|---|
| 766 | t "std_logic"
|
|---|
| 767 | o 2
|
|---|
| 768 | suid 2,0
|
|---|
| 769 | )
|
|---|
| 770 | declText (MLText
|
|---|
| 771 | uid 72,0
|
|---|
| 772 | va (VaSet
|
|---|
| 773 | font "Courier New,8,0"
|
|---|
| 774 | )
|
|---|
| 775 | xt "22000,4600,44500,5400"
|
|---|
| 776 | st "SIGNAL amber : std_logic
|
|---|
| 777 | "
|
|---|
| 778 | )
|
|---|
| 779 | )
|
|---|
| 780 | *17 (Net
|
|---|
| 781 | uid 79,0
|
|---|
| 782 | decl (Decl
|
|---|
| 783 | n "red"
|
|---|
| 784 | t "std_logic"
|
|---|
| 785 | o 3
|
|---|
| 786 | suid 3,0
|
|---|
| 787 | )
|
|---|
| 788 | declText (MLText
|
|---|
| 789 | uid 80,0
|
|---|
| 790 | va (VaSet
|
|---|
| 791 | font "Courier New,8,0"
|
|---|
| 792 | )
|
|---|
| 793 | xt "22000,6200,44500,7000"
|
|---|
| 794 | st "SIGNAL red : std_logic
|
|---|
| 795 | "
|
|---|
| 796 | )
|
|---|
| 797 | )
|
|---|
| 798 | *18 (Net
|
|---|
| 799 | uid 87,0
|
|---|
| 800 | decl (Decl
|
|---|
| 801 | n "additional_flasher_out"
|
|---|
| 802 | t "std_logic"
|
|---|
| 803 | o 4
|
|---|
| 804 | suid 4,0
|
|---|
| 805 | )
|
|---|
| 806 | declText (MLText
|
|---|
| 807 | uid 88,0
|
|---|
| 808 | va (VaSet
|
|---|
| 809 | font "Courier New,8,0"
|
|---|
| 810 | )
|
|---|
| 811 | xt "22000,3800,44500,4600"
|
|---|
| 812 | st "SIGNAL additional_flasher_out : std_logic
|
|---|
| 813 | "
|
|---|
| 814 | )
|
|---|
| 815 | )
|
|---|
| 816 | *19 (Net
|
|---|
| 817 | uid 95,0
|
|---|
| 818 | decl (Decl
|
|---|
| 819 | n "CLK"
|
|---|
| 820 | t "std_logic"
|
|---|
| 821 | o 5
|
|---|
| 822 | suid 5,0
|
|---|
| 823 | )
|
|---|
| 824 | declText (MLText
|
|---|
| 825 | uid 96,0
|
|---|
| 826 | va (VaSet
|
|---|
| 827 | font "Courier New,8,0"
|
|---|
| 828 | )
|
|---|
| 829 | xt "22000,3000,44500,3800"
|
|---|
| 830 | st "SIGNAL CLK : std_logic
|
|---|
| 831 | "
|
|---|
| 832 | )
|
|---|
| 833 | )
|
|---|
| 834 | *20 (Net
|
|---|
| 835 | uid 103,0
|
|---|
| 836 | decl (Decl
|
|---|
| 837 | n "trigger"
|
|---|
| 838 | t "std_logic"
|
|---|
| 839 | o 6
|
|---|
| 840 | suid 6,0
|
|---|
| 841 | )
|
|---|
| 842 | declText (MLText
|
|---|
| 843 | uid 104,0
|
|---|
| 844 | va (VaSet
|
|---|
| 845 | font "Courier New,8,0"
|
|---|
| 846 | )
|
|---|
| 847 | xt "22000,10200,44500,11000"
|
|---|
| 848 | st "SIGNAL trigger : std_logic
|
|---|
| 849 | "
|
|---|
| 850 | )
|
|---|
| 851 | )
|
|---|
| 852 | *21 (Net
|
|---|
| 853 | uid 111,0
|
|---|
| 854 | decl (Decl
|
|---|
| 855 | n "refclk_too_high"
|
|---|
| 856 | t "std_logic"
|
|---|
| 857 | o 7
|
|---|
| 858 | suid 7,0
|
|---|
| 859 | )
|
|---|
| 860 | declText (MLText
|
|---|
| 861 | uid 112,0
|
|---|
| 862 | va (VaSet
|
|---|
| 863 | font "Courier New,8,0"
|
|---|
| 864 | )
|
|---|
| 865 | xt "22000,7000,44500,7800"
|
|---|
| 866 | st "SIGNAL refclk_too_high : std_logic
|
|---|
| 867 | "
|
|---|
| 868 | )
|
|---|
| 869 | )
|
|---|
| 870 | *22 (Net
|
|---|
| 871 | uid 119,0
|
|---|
| 872 | decl (Decl
|
|---|
| 873 | n "refclk_too_low"
|
|---|
| 874 | t "std_logic"
|
|---|
| 875 | o 8
|
|---|
| 876 | suid 8,0
|
|---|
| 877 | )
|
|---|
| 878 | declText (MLText
|
|---|
| 879 | uid 120,0
|
|---|
| 880 | va (VaSet
|
|---|
| 881 | font "Courier New,8,0"
|
|---|
| 882 | )
|
|---|
| 883 | xt "22000,7800,44500,8600"
|
|---|
| 884 | st "SIGNAL refclk_too_low : std_logic
|
|---|
| 885 | "
|
|---|
| 886 | )
|
|---|
| 887 | )
|
|---|
| 888 | *23 (Net
|
|---|
| 889 | uid 127,0
|
|---|
| 890 | decl (Decl
|
|---|
| 891 | n "socks_waiting"
|
|---|
| 892 | t "std_logic"
|
|---|
| 893 | o 9
|
|---|
| 894 | suid 9,0
|
|---|
| 895 | )
|
|---|
| 896 | declText (MLText
|
|---|
| 897 | uid 128,0
|
|---|
| 898 | va (VaSet
|
|---|
| 899 | font "Courier New,8,0"
|
|---|
| 900 | )
|
|---|
| 901 | xt "22000,9400,44500,10200"
|
|---|
| 902 | st "SIGNAL socks_waiting : std_logic
|
|---|
| 903 | "
|
|---|
| 904 | )
|
|---|
| 905 | )
|
|---|
| 906 | *24 (Net
|
|---|
| 907 | uid 135,0
|
|---|
| 908 | decl (Decl
|
|---|
| 909 | n "socks_connected"
|
|---|
| 910 | t "std_logic"
|
|---|
| 911 | o 10
|
|---|
| 912 | suid 10,0
|
|---|
| 913 | )
|
|---|
| 914 | declText (MLText
|
|---|
| 915 | uid 136,0
|
|---|
| 916 | va (VaSet
|
|---|
| 917 | font "Courier New,8,0"
|
|---|
| 918 | )
|
|---|
| 919 | xt "22000,8600,44500,9400"
|
|---|
| 920 | st "SIGNAL socks_connected : std_logic
|
|---|
| 921 | "
|
|---|
| 922 | )
|
|---|
| 923 | )
|
|---|
| 924 | *25 (Blk
|
|---|
| 925 | uid 143,0
|
|---|
| 926 | shape (Rectangle
|
|---|
| 927 | uid 144,0
|
|---|
| 928 | va (VaSet
|
|---|
| 929 | vasetType 1
|
|---|
| 930 | fg "39936,56832,65280"
|
|---|
| 931 | lineColor "0,0,32768"
|
|---|
| 932 | lineWidth 2
|
|---|
| 933 | )
|
|---|
| 934 | xt "44000,13000,62000,20000"
|
|---|
| 935 | )
|
|---|
| 936 | ttg (MlTextGroup
|
|---|
| 937 | uid 145,0
|
|---|
| 938 | ps "CenterOffsetStrategy"
|
|---|
| 939 | stg "VerticalLayoutStrategy"
|
|---|
| 940 | textVec [
|
|---|
| 941 | *26 (Text
|
|---|
| 942 | uid 146,0
|
|---|
| 943 | va (VaSet
|
|---|
| 944 | font "Arial,8,1"
|
|---|
| 945 | )
|
|---|
| 946 | xt "49150,15000,56850,16000"
|
|---|
| 947 | st "FACT_FAD_TB_lib"
|
|---|
| 948 | blo "49150,15800"
|
|---|
| 949 | tm "BdLibraryNameMgr"
|
|---|
| 950 | )
|
|---|
| 951 | *27 (Text
|
|---|
| 952 | uid 147,0
|
|---|
| 953 | va (VaSet
|
|---|
| 954 | font "Arial,8,1"
|
|---|
| 955 | )
|
|---|
| 956 | xt "49150,16000,57950,17000"
|
|---|
| 957 | st "led_controller_tester"
|
|---|
| 958 | blo "49150,16800"
|
|---|
| 959 | tm "BlkNameMgr"
|
|---|
| 960 | )
|
|---|
| 961 | *28 (Text
|
|---|
| 962 | uid 148,0
|
|---|
| 963 | va (VaSet
|
|---|
| 964 | font "Arial,8,1"
|
|---|
| 965 | )
|
|---|
| 966 | xt "49150,17000,50950,18000"
|
|---|
| 967 | st "U_1"
|
|---|
| 968 | blo "49150,17800"
|
|---|
| 969 | tm "InstanceNameMgr"
|
|---|
| 970 | )
|
|---|
| 971 | ]
|
|---|
| 972 | )
|
|---|
| 973 | ga (GenericAssociation
|
|---|
| 974 | uid 149,0
|
|---|
| 975 | ps "EdgeToEdgeStrategy"
|
|---|
| 976 | matrix (Matrix
|
|---|
| 977 | uid 150,0
|
|---|
| 978 | text (MLText
|
|---|
| 979 | uid 151,0
|
|---|
| 980 | va (VaSet
|
|---|
| 981 | font "Courier New,8,0"
|
|---|
| 982 | )
|
|---|
| 983 | xt "49150,25000,49150,25000"
|
|---|
| 984 | )
|
|---|
| 985 | header ""
|
|---|
| 986 | )
|
|---|
| 987 | elements [
|
|---|
| 988 | ]
|
|---|
| 989 | )
|
|---|
| 990 | viewicon (ZoomableIcon
|
|---|
| 991 | uid 152,0
|
|---|
| 992 | sl 0
|
|---|
| 993 | va (VaSet
|
|---|
| 994 | vasetType 1
|
|---|
| 995 | fg "49152,49152,49152"
|
|---|
| 996 | )
|
|---|
| 997 | xt "44250,18250,45750,19750"
|
|---|
| 998 | iconName "VhdlFileViewIcon.png"
|
|---|
| 999 | iconMaskName "VhdlFileViewIcon.msk"
|
|---|
| 1000 | ftype 10
|
|---|
| 1001 | )
|
|---|
| 1002 | ordering 1
|
|---|
| 1003 | viewiconposition 0
|
|---|
| 1004 | blkPorts [
|
|---|
| 1005 | "additional_flasher_out"
|
|---|
| 1006 | "amber"
|
|---|
| 1007 | "green"
|
|---|
| 1008 | "red"
|
|---|
| 1009 | "refclk_too_high"
|
|---|
| 1010 | "refclk_too_low"
|
|---|
| 1011 | "socks_connected"
|
|---|
| 1012 | "socks_waiting"
|
|---|
| 1013 | "trigger"
|
|---|
| 1014 | ]
|
|---|
| 1015 | )
|
|---|
| 1016 | *29 (Grouping
|
|---|
| 1017 | uid 233,0
|
|---|
| 1018 | optionalChildren [
|
|---|
| 1019 | *30 (CommentText
|
|---|
| 1020 | uid 235,0
|
|---|
| 1021 | shape (Rectangle
|
|---|
| 1022 | uid 236,0
|
|---|
| 1023 | sl 0
|
|---|
| 1024 | va (VaSet
|
|---|
| 1025 | vasetType 1
|
|---|
| 1026 | fg "65280,65280,46080"
|
|---|
| 1027 | )
|
|---|
| 1028 | xt "20000,50000,37000,51000"
|
|---|
| 1029 | )
|
|---|
| 1030 | oxt "18000,70000,35000,71000"
|
|---|
| 1031 | text (MLText
|
|---|
| 1032 | uid 237,0
|
|---|
| 1033 | va (VaSet
|
|---|
| 1034 | fg "0,0,32768"
|
|---|
| 1035 | bg "0,0,32768"
|
|---|
| 1036 | )
|
|---|
| 1037 | xt "20200,50000,30000,51000"
|
|---|
| 1038 | st "
|
|---|
| 1039 | by %user on %dd %month %year
|
|---|
| 1040 | "
|
|---|
| 1041 | tm "CommentText"
|
|---|
| 1042 | wrapOption 3
|
|---|
| 1043 | visibleHeight 1000
|
|---|
| 1044 | visibleWidth 17000
|
|---|
| 1045 | )
|
|---|
| 1046 | position 1
|
|---|
| 1047 | ignorePrefs 1
|
|---|
| 1048 | titleBlock 1
|
|---|
| 1049 | )
|
|---|
| 1050 | *31 (CommentText
|
|---|
| 1051 | uid 238,0
|
|---|
| 1052 | shape (Rectangle
|
|---|
| 1053 | uid 239,0
|
|---|
| 1054 | sl 0
|
|---|
| 1055 | va (VaSet
|
|---|
| 1056 | vasetType 1
|
|---|
| 1057 | fg "65280,65280,46080"
|
|---|
| 1058 | )
|
|---|
| 1059 | xt "37000,46000,41000,47000"
|
|---|
| 1060 | )
|
|---|
| 1061 | oxt "35000,66000,39000,67000"
|
|---|
| 1062 | text (MLText
|
|---|
| 1063 | uid 240,0
|
|---|
| 1064 | va (VaSet
|
|---|
| 1065 | fg "0,0,32768"
|
|---|
| 1066 | bg "0,0,32768"
|
|---|
| 1067 | )
|
|---|
| 1068 | xt "37200,46000,40200,47000"
|
|---|
| 1069 | st "
|
|---|
| 1070 | Project:
|
|---|
| 1071 | "
|
|---|
| 1072 | tm "CommentText"
|
|---|
| 1073 | wrapOption 3
|
|---|
| 1074 | visibleHeight 1000
|
|---|
| 1075 | visibleWidth 4000
|
|---|
| 1076 | )
|
|---|
| 1077 | position 1
|
|---|
| 1078 | ignorePrefs 1
|
|---|
| 1079 | titleBlock 1
|
|---|
| 1080 | )
|
|---|
| 1081 | *32 (CommentText
|
|---|
| 1082 | uid 241,0
|
|---|
| 1083 | shape (Rectangle
|
|---|
| 1084 | uid 242,0
|
|---|
| 1085 | sl 0
|
|---|
| 1086 | va (VaSet
|
|---|
| 1087 | vasetType 1
|
|---|
| 1088 | fg "65280,65280,46080"
|
|---|
| 1089 | )
|
|---|
| 1090 | xt "20000,48000,37000,49000"
|
|---|
| 1091 | )
|
|---|
| 1092 | oxt "18000,68000,35000,69000"
|
|---|
| 1093 | text (MLText
|
|---|
| 1094 | uid 243,0
|
|---|
| 1095 | va (VaSet
|
|---|
| 1096 | fg "0,0,32768"
|
|---|
| 1097 | bg "0,0,32768"
|
|---|
| 1098 | )
|
|---|
| 1099 | xt "20200,48000,30200,49000"
|
|---|
| 1100 | st "
|
|---|
| 1101 | <enter diagram title here>
|
|---|
| 1102 | "
|
|---|
| 1103 | tm "CommentText"
|
|---|
| 1104 | wrapOption 3
|
|---|
| 1105 | visibleHeight 1000
|
|---|
| 1106 | visibleWidth 17000
|
|---|
| 1107 | )
|
|---|
| 1108 | position 1
|
|---|
| 1109 | ignorePrefs 1
|
|---|
| 1110 | titleBlock 1
|
|---|
| 1111 | )
|
|---|
| 1112 | *33 (CommentText
|
|---|
| 1113 | uid 244,0
|
|---|
| 1114 | shape (Rectangle
|
|---|
| 1115 | uid 245,0
|
|---|
| 1116 | sl 0
|
|---|
| 1117 | va (VaSet
|
|---|
| 1118 | vasetType 1
|
|---|
| 1119 | fg "65280,65280,46080"
|
|---|
| 1120 | )
|
|---|
| 1121 | xt "16000,48000,20000,49000"
|
|---|
| 1122 | )
|
|---|
| 1123 | oxt "14000,68000,18000,69000"
|
|---|
| 1124 | text (MLText
|
|---|
| 1125 | uid 246,0
|
|---|
| 1126 | va (VaSet
|
|---|
| 1127 | fg "0,0,32768"
|
|---|
| 1128 | bg "0,0,32768"
|
|---|
| 1129 | )
|
|---|
| 1130 | xt "16200,48000,18300,49000"
|
|---|
| 1131 | st "
|
|---|
| 1132 | Title:
|
|---|
| 1133 | "
|
|---|
| 1134 | tm "CommentText"
|
|---|
| 1135 | wrapOption 3
|
|---|
| 1136 | visibleHeight 1000
|
|---|
| 1137 | visibleWidth 4000
|
|---|
| 1138 | )
|
|---|
| 1139 | position 1
|
|---|
| 1140 | ignorePrefs 1
|
|---|
| 1141 | titleBlock 1
|
|---|
| 1142 | )
|
|---|
| 1143 | *34 (CommentText
|
|---|
| 1144 | uid 247,0
|
|---|
| 1145 | shape (Rectangle
|
|---|
| 1146 | uid 248,0
|
|---|
| 1147 | sl 0
|
|---|
| 1148 | va (VaSet
|
|---|
| 1149 | vasetType 1
|
|---|
| 1150 | fg "65280,65280,46080"
|
|---|
| 1151 | )
|
|---|
| 1152 | xt "37000,47000,57000,51000"
|
|---|
| 1153 | )
|
|---|
| 1154 | oxt "35000,67000,55000,71000"
|
|---|
| 1155 | text (MLText
|
|---|
| 1156 | uid 249,0
|
|---|
| 1157 | va (VaSet
|
|---|
| 1158 | fg "0,0,32768"
|
|---|
| 1159 | bg "0,0,32768"
|
|---|
| 1160 | )
|
|---|
| 1161 | xt "37200,47200,46400,48200"
|
|---|
| 1162 | st "
|
|---|
| 1163 | <enter comments here>
|
|---|
| 1164 | "
|
|---|
| 1165 | tm "CommentText"
|
|---|
| 1166 | wrapOption 3
|
|---|
| 1167 | visibleHeight 4000
|
|---|
| 1168 | visibleWidth 20000
|
|---|
| 1169 | )
|
|---|
| 1170 | ignorePrefs 1
|
|---|
| 1171 | titleBlock 1
|
|---|
| 1172 | )
|
|---|
| 1173 | *35 (CommentText
|
|---|
| 1174 | uid 250,0
|
|---|
| 1175 | shape (Rectangle
|
|---|
| 1176 | uid 251,0
|
|---|
| 1177 | sl 0
|
|---|
| 1178 | va (VaSet
|
|---|
| 1179 | vasetType 1
|
|---|
| 1180 | fg "65280,65280,46080"
|
|---|
| 1181 | )
|
|---|
| 1182 | xt "41000,46000,57000,47000"
|
|---|
| 1183 | )
|
|---|
| 1184 | oxt "39000,66000,55000,67000"
|
|---|
| 1185 | text (MLText
|
|---|
| 1186 | uid 252,0
|
|---|
| 1187 | va (VaSet
|
|---|
| 1188 | fg "0,0,32768"
|
|---|
| 1189 | bg "0,0,32768"
|
|---|
| 1190 | )
|
|---|
| 1191 | xt "41200,46000,45700,47000"
|
|---|
| 1192 | st "
|
|---|
| 1193 | %project_name
|
|---|
| 1194 | "
|
|---|
| 1195 | tm "CommentText"
|
|---|
| 1196 | wrapOption 3
|
|---|
| 1197 | visibleHeight 1000
|
|---|
| 1198 | visibleWidth 16000
|
|---|
| 1199 | )
|
|---|
| 1200 | position 1
|
|---|
| 1201 | ignorePrefs 1
|
|---|
| 1202 | titleBlock 1
|
|---|
| 1203 | )
|
|---|
| 1204 | *36 (CommentText
|
|---|
| 1205 | uid 253,0
|
|---|
| 1206 | shape (Rectangle
|
|---|
| 1207 | uid 254,0
|
|---|
| 1208 | sl 0
|
|---|
| 1209 | va (VaSet
|
|---|
| 1210 | vasetType 1
|
|---|
| 1211 | fg "65280,65280,46080"
|
|---|
| 1212 | )
|
|---|
| 1213 | xt "16000,46000,37000,48000"
|
|---|
| 1214 | )
|
|---|
| 1215 | oxt "14000,66000,35000,68000"
|
|---|
| 1216 | text (MLText
|
|---|
| 1217 | uid 255,0
|
|---|
| 1218 | va (VaSet
|
|---|
| 1219 | fg "32768,0,0"
|
|---|
| 1220 | )
|
|---|
| 1221 | xt "23150,46500,29850,47500"
|
|---|
| 1222 | st "
|
|---|
| 1223 | <company name>
|
|---|
| 1224 | "
|
|---|
| 1225 | ju 0
|
|---|
| 1226 | tm "CommentText"
|
|---|
| 1227 | wrapOption 3
|
|---|
| 1228 | visibleHeight 2000
|
|---|
| 1229 | visibleWidth 21000
|
|---|
| 1230 | )
|
|---|
| 1231 | position 1
|
|---|
| 1232 | ignorePrefs 1
|
|---|
| 1233 | titleBlock 1
|
|---|
| 1234 | )
|
|---|
| 1235 | *37 (CommentText
|
|---|
| 1236 | uid 256,0
|
|---|
| 1237 | shape (Rectangle
|
|---|
| 1238 | uid 257,0
|
|---|
| 1239 | sl 0
|
|---|
| 1240 | va (VaSet
|
|---|
| 1241 | vasetType 1
|
|---|
| 1242 | fg "65280,65280,46080"
|
|---|
| 1243 | )
|
|---|
| 1244 | xt "16000,49000,20000,50000"
|
|---|
| 1245 | )
|
|---|
| 1246 | oxt "14000,69000,18000,70000"
|
|---|
| 1247 | text (MLText
|
|---|
| 1248 | uid 258,0
|
|---|
| 1249 | va (VaSet
|
|---|
| 1250 | fg "0,0,32768"
|
|---|
| 1251 | bg "0,0,32768"
|
|---|
| 1252 | )
|
|---|
| 1253 | xt "16200,49000,18300,50000"
|
|---|
| 1254 | st "
|
|---|
| 1255 | Path:
|
|---|
| 1256 | "
|
|---|
| 1257 | tm "CommentText"
|
|---|
| 1258 | wrapOption 3
|
|---|
| 1259 | visibleHeight 1000
|
|---|
| 1260 | visibleWidth 4000
|
|---|
| 1261 | )
|
|---|
| 1262 | position 1
|
|---|
| 1263 | ignorePrefs 1
|
|---|
| 1264 | titleBlock 1
|
|---|
| 1265 | )
|
|---|
| 1266 | *38 (CommentText
|
|---|
| 1267 | uid 259,0
|
|---|
| 1268 | shape (Rectangle
|
|---|
| 1269 | uid 260,0
|
|---|
| 1270 | sl 0
|
|---|
| 1271 | va (VaSet
|
|---|
| 1272 | vasetType 1
|
|---|
| 1273 | fg "65280,65280,46080"
|
|---|
| 1274 | )
|
|---|
| 1275 | xt "16000,50000,20000,51000"
|
|---|
| 1276 | )
|
|---|
| 1277 | oxt "14000,70000,18000,71000"
|
|---|
| 1278 | text (MLText
|
|---|
| 1279 | uid 261,0
|
|---|
| 1280 | va (VaSet
|
|---|
| 1281 | fg "0,0,32768"
|
|---|
| 1282 | bg "0,0,32768"
|
|---|
| 1283 | )
|
|---|
| 1284 | xt "16200,50000,18900,51000"
|
|---|
| 1285 | st "
|
|---|
| 1286 | Edited:
|
|---|
| 1287 | "
|
|---|
| 1288 | tm "CommentText"
|
|---|
| 1289 | wrapOption 3
|
|---|
| 1290 | visibleHeight 1000
|
|---|
| 1291 | visibleWidth 4000
|
|---|
| 1292 | )
|
|---|
| 1293 | position 1
|
|---|
| 1294 | ignorePrefs 1
|
|---|
| 1295 | titleBlock 1
|
|---|
| 1296 | )
|
|---|
| 1297 | *39 (CommentText
|
|---|
| 1298 | uid 262,0
|
|---|
| 1299 | shape (Rectangle
|
|---|
| 1300 | uid 263,0
|
|---|
| 1301 | sl 0
|
|---|
| 1302 | va (VaSet
|
|---|
| 1303 | vasetType 1
|
|---|
| 1304 | fg "65280,65280,46080"
|
|---|
| 1305 | )
|
|---|
| 1306 | xt "20000,49000,37000,50000"
|
|---|
| 1307 | )
|
|---|
| 1308 | oxt "18000,69000,35000,70000"
|
|---|
| 1309 | text (MLText
|
|---|
| 1310 | uid 264,0
|
|---|
| 1311 | va (VaSet
|
|---|
| 1312 | fg "0,0,32768"
|
|---|
| 1313 | bg "0,0,32768"
|
|---|
| 1314 | )
|
|---|
| 1315 | xt "20200,49000,36400,50000"
|
|---|
| 1316 | st "
|
|---|
| 1317 | %library/%unit/%view
|
|---|
| 1318 | "
|
|---|
| 1319 | tm "CommentText"
|
|---|
| 1320 | wrapOption 3
|
|---|
| 1321 | visibleHeight 1000
|
|---|
| 1322 | visibleWidth 17000
|
|---|
| 1323 | )
|
|---|
| 1324 | position 1
|
|---|
| 1325 | ignorePrefs 1
|
|---|
| 1326 | titleBlock 1
|
|---|
| 1327 | )
|
|---|
| 1328 | ]
|
|---|
| 1329 | shape (GroupingShape
|
|---|
| 1330 | uid 234,0
|
|---|
| 1331 | va (VaSet
|
|---|
| 1332 | vasetType 1
|
|---|
| 1333 | fg "65535,65535,65535"
|
|---|
| 1334 | lineStyle 2
|
|---|
| 1335 | lineWidth 2
|
|---|
| 1336 | )
|
|---|
| 1337 | xt "16000,46000,57000,51000"
|
|---|
| 1338 | )
|
|---|
| 1339 | oxt "14000,66000,55000,71000"
|
|---|
| 1340 | )
|
|---|
| 1341 | *40 (SaComponent
|
|---|
| 1342 | uid 424,0
|
|---|
| 1343 | optionalChildren [
|
|---|
| 1344 | *41 (CptPort
|
|---|
| 1345 | uid 413,0
|
|---|
| 1346 | ps "OnEdgeStrategy"
|
|---|
| 1347 | shape (Triangle
|
|---|
| 1348 | uid 414,0
|
|---|
| 1349 | ro 90
|
|---|
| 1350 | va (VaSet
|
|---|
| 1351 | vasetType 1
|
|---|
| 1352 | fg "0,65535,0"
|
|---|
| 1353 | )
|
|---|
| 1354 | xt "7000,31625,7750,32375"
|
|---|
| 1355 | )
|
|---|
| 1356 | tg (CPTG
|
|---|
| 1357 | uid 415,0
|
|---|
| 1358 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1359 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1360 | f (Text
|
|---|
| 1361 | uid 416,0
|
|---|
| 1362 | va (VaSet
|
|---|
| 1363 | )
|
|---|
| 1364 | xt "4700,31500,6000,32500"
|
|---|
| 1365 | st "clk"
|
|---|
| 1366 | ju 2
|
|---|
| 1367 | blo "6000,32300"
|
|---|
| 1368 | )
|
|---|
| 1369 | )
|
|---|
| 1370 | thePort (LogicalPort
|
|---|
| 1371 | m 1
|
|---|
| 1372 | decl (Decl
|
|---|
| 1373 | n "clk"
|
|---|
| 1374 | t "std_logic"
|
|---|
| 1375 | preAdd 0
|
|---|
| 1376 | posAdd 0
|
|---|
| 1377 | o 1
|
|---|
| 1378 | suid 1,0
|
|---|
| 1379 | i "'0'"
|
|---|
| 1380 | )
|
|---|
| 1381 | )
|
|---|
| 1382 | )
|
|---|
| 1383 | *42 (CptPort
|
|---|
| 1384 | uid 417,0
|
|---|
| 1385 | ps "OnEdgeStrategy"
|
|---|
| 1386 | shape (Triangle
|
|---|
| 1387 | uid 418,0
|
|---|
| 1388 | ro 90
|
|---|
| 1389 | va (VaSet
|
|---|
| 1390 | vasetType 1
|
|---|
| 1391 | fg "0,65535,0"
|
|---|
| 1392 | )
|
|---|
| 1393 | xt "7000,32625,7750,33375"
|
|---|
| 1394 | )
|
|---|
| 1395 | tg (CPTG
|
|---|
| 1396 | uid 419,0
|
|---|
| 1397 | ps "CptPortTextPlaceStrategy"
|
|---|
| 1398 | stg "RightVerticalLayoutStrategy"
|
|---|
| 1399 | f (Text
|
|---|
| 1400 | uid 420,0
|
|---|
| 1401 | va (VaSet
|
|---|
| 1402 | )
|
|---|
| 1403 | xt "4700,32500,6000,33500"
|
|---|
| 1404 | st "rst"
|
|---|
| 1405 | ju 2
|
|---|
| 1406 | blo "6000,33300"
|
|---|
| 1407 | )
|
|---|
| 1408 | )
|
|---|
| 1409 | thePort (LogicalPort
|
|---|
| 1410 | m 1
|
|---|
| 1411 | decl (Decl
|
|---|
| 1412 | n "rst"
|
|---|
| 1413 | t "std_logic"
|
|---|
| 1414 | preAdd 0
|
|---|
| 1415 | posAdd 0
|
|---|
| 1416 | o 2
|
|---|
| 1417 | suid 2,0
|
|---|
| 1418 | i "'0'"
|
|---|
| 1419 | )
|
|---|
| 1420 | )
|
|---|
| 1421 | )
|
|---|
| 1422 | ]
|
|---|
| 1423 | shape (Rectangle
|
|---|
| 1424 | uid 425,0
|
|---|
| 1425 | va (VaSet
|
|---|
| 1426 | vasetType 1
|
|---|
| 1427 | fg "0,49152,49152"
|
|---|
| 1428 | lineColor "0,0,50000"
|
|---|
| 1429 | lineWidth 2
|
|---|
| 1430 | )
|
|---|
| 1431 | xt "-1000,31000,7000,35000"
|
|---|
| 1432 | )
|
|---|
| 1433 | oxt "22000,15000,30000,19000"
|
|---|
| 1434 | ttg (MlTextGroup
|
|---|
| 1435 | uid 426,0
|
|---|
| 1436 | ps "CenterOffsetStrategy"
|
|---|
| 1437 | stg "VerticalLayoutStrategy"
|
|---|
| 1438 | textVec [
|
|---|
| 1439 | *43 (Text
|
|---|
| 1440 | uid 427,0
|
|---|
| 1441 | va (VaSet
|
|---|
| 1442 | font "Arial,8,1"
|
|---|
| 1443 | )
|
|---|
| 1444 | xt "-850,35000,6850,36000"
|
|---|
| 1445 | st "FACT_FAD_TB_lib"
|
|---|
| 1446 | blo "-850,35800"
|
|---|
| 1447 | tm "BdLibraryNameMgr"
|
|---|
| 1448 | )
|
|---|
| 1449 | *44 (Text
|
|---|
| 1450 | uid 428,0
|
|---|
| 1451 | va (VaSet
|
|---|
| 1452 | font "Arial,8,1"
|
|---|
| 1453 | )
|
|---|
| 1454 | xt "-850,36000,5850,37000"
|
|---|
| 1455 | st "clock_generator"
|
|---|
| 1456 | blo "-850,36800"
|
|---|
| 1457 | tm "CptNameMgr"
|
|---|
| 1458 | )
|
|---|
| 1459 | *45 (Text
|
|---|
| 1460 | uid 429,0
|
|---|
| 1461 | va (VaSet
|
|---|
| 1462 | font "Arial,8,1"
|
|---|
| 1463 | )
|
|---|
| 1464 | xt "-850,37000,950,38000"
|
|---|
| 1465 | st "U_2"
|
|---|
| 1466 | blo "-850,37800"
|
|---|
| 1467 | tm "InstanceNameMgr"
|
|---|
| 1468 | )
|
|---|
| 1469 | ]
|
|---|
| 1470 | )
|
|---|
| 1471 | ga (GenericAssociation
|
|---|
| 1472 | uid 430,0
|
|---|
| 1473 | ps "EdgeToEdgeStrategy"
|
|---|
| 1474 | matrix (Matrix
|
|---|
| 1475 | uid 431,0
|
|---|
| 1476 | text (MLText
|
|---|
| 1477 | uid 432,0
|
|---|
| 1478 | va (VaSet
|
|---|
| 1479 | font "Courier New,8,0"
|
|---|
| 1480 | )
|
|---|
| 1481 | xt "-5000,29400,13500,31000"
|
|---|
| 1482 | st "clock_period = 20 ns ( time )
|
|---|
| 1483 | reset_time = 50 ns ( time ) "
|
|---|
| 1484 | )
|
|---|
| 1485 | header ""
|
|---|
| 1486 | )
|
|---|
| 1487 | elements [
|
|---|
| 1488 | (GiElement
|
|---|
| 1489 | name "clock_period"
|
|---|
| 1490 | type "time"
|
|---|
| 1491 | value "20 ns"
|
|---|
| 1492 | )
|
|---|
| 1493 | (GiElement
|
|---|
| 1494 | name "reset_time"
|
|---|
| 1495 | type "time"
|
|---|
| 1496 | value "50 ns"
|
|---|
| 1497 | )
|
|---|
| 1498 | ]
|
|---|
| 1499 | )
|
|---|
| 1500 | viewicon (ZoomableIcon
|
|---|
| 1501 | uid 433,0
|
|---|
| 1502 | sl 0
|
|---|
| 1503 | va (VaSet
|
|---|
| 1504 | vasetType 1
|
|---|
| 1505 | fg "49152,49152,49152"
|
|---|
| 1506 | )
|
|---|
| 1507 | xt "-750,33250,750,34750"
|
|---|
| 1508 | iconName "VhdlFileViewIcon.png"
|
|---|
| 1509 | iconMaskName "VhdlFileViewIcon.msk"
|
|---|
| 1510 | ftype 10
|
|---|
| 1511 | )
|
|---|
| 1512 | ordering 1
|
|---|
| 1513 | viewiconposition 0
|
|---|
| 1514 | portVis (PortSigDisplay
|
|---|
| 1515 | )
|
|---|
| 1516 | archFileType "UNKNOWN"
|
|---|
| 1517 | )
|
|---|
| 1518 | *46 (Wire
|
|---|
| 1519 | uid 65,0
|
|---|
| 1520 | shape (OrthoPolyLine
|
|---|
| 1521 | uid 66,0
|
|---|
| 1522 | va (VaSet
|
|---|
| 1523 | vasetType 3
|
|---|
| 1524 | )
|
|---|
| 1525 | xt "18750,16000,30000,16000"
|
|---|
| 1526 | pts [
|
|---|
| 1527 | "18750,16000"
|
|---|
| 1528 | "30000,16000"
|
|---|
| 1529 | ]
|
|---|
| 1530 | )
|
|---|
| 1531 | start &3
|
|---|
| 1532 | sat 32
|
|---|
| 1533 | eat 16
|
|---|
| 1534 | st 0
|
|---|
| 1535 | sf 1
|
|---|
| 1536 | si 0
|
|---|
| 1537 | tg (WTG
|
|---|
| 1538 | uid 69,0
|
|---|
| 1539 | ps "ConnStartEndStrategy"
|
|---|
| 1540 | stg "STSignalDisplayStrategy"
|
|---|
| 1541 | f (Text
|
|---|
| 1542 | uid 70,0
|
|---|
| 1543 | va (VaSet
|
|---|
| 1544 | )
|
|---|
| 1545 | xt "20000,15000,22400,16000"
|
|---|
| 1546 | st "green"
|
|---|
| 1547 | blo "20000,15800"
|
|---|
| 1548 | tm "WireNameMgr"
|
|---|
| 1549 | )
|
|---|
| 1550 | )
|
|---|
| 1551 | on &15
|
|---|
| 1552 | )
|
|---|
| 1553 | *47 (Wire
|
|---|
| 1554 | uid 73,0
|
|---|
| 1555 | shape (OrthoPolyLine
|
|---|
| 1556 | uid 74,0
|
|---|
| 1557 | va (VaSet
|
|---|
| 1558 | vasetType 3
|
|---|
| 1559 | )
|
|---|
| 1560 | xt "18750,17000,30000,17000"
|
|---|
| 1561 | pts [
|
|---|
| 1562 | "18750,17000"
|
|---|
| 1563 | "30000,17000"
|
|---|
| 1564 | ]
|
|---|
| 1565 | )
|
|---|
| 1566 | start &4
|
|---|
| 1567 | sat 32
|
|---|
| 1568 | eat 16
|
|---|
| 1569 | st 0
|
|---|
| 1570 | sf 1
|
|---|
| 1571 | si 0
|
|---|
| 1572 | tg (WTG
|
|---|
| 1573 | uid 77,0
|
|---|
| 1574 | ps "ConnStartEndStrategy"
|
|---|
| 1575 | stg "STSignalDisplayStrategy"
|
|---|
| 1576 | f (Text
|
|---|
| 1577 | uid 78,0
|
|---|
| 1578 | va (VaSet
|
|---|
| 1579 | )
|
|---|
| 1580 | xt "20000,16000,22500,17000"
|
|---|
| 1581 | st "amber"
|
|---|
| 1582 | blo "20000,16800"
|
|---|
| 1583 | tm "WireNameMgr"
|
|---|
| 1584 | )
|
|---|
| 1585 | )
|
|---|
| 1586 | on &16
|
|---|
| 1587 | )
|
|---|
| 1588 | *48 (Wire
|
|---|
| 1589 | uid 81,0
|
|---|
| 1590 | shape (OrthoPolyLine
|
|---|
| 1591 | uid 82,0
|
|---|
| 1592 | va (VaSet
|
|---|
| 1593 | vasetType 3
|
|---|
| 1594 | )
|
|---|
| 1595 | xt "18750,18000,30000,18000"
|
|---|
| 1596 | pts [
|
|---|
| 1597 | "18750,18000"
|
|---|
| 1598 | "30000,18000"
|
|---|
| 1599 | ]
|
|---|
| 1600 | )
|
|---|
| 1601 | start &5
|
|---|
| 1602 | sat 32
|
|---|
| 1603 | eat 16
|
|---|
| 1604 | st 0
|
|---|
| 1605 | sf 1
|
|---|
| 1606 | si 0
|
|---|
| 1607 | tg (WTG
|
|---|
| 1608 | uid 85,0
|
|---|
| 1609 | ps "ConnStartEndStrategy"
|
|---|
| 1610 | stg "STSignalDisplayStrategy"
|
|---|
| 1611 | f (Text
|
|---|
| 1612 | uid 86,0
|
|---|
| 1613 | va (VaSet
|
|---|
| 1614 | )
|
|---|
| 1615 | xt "20000,17000,21500,18000"
|
|---|
| 1616 | st "red"
|
|---|
| 1617 | blo "20000,17800"
|
|---|
| 1618 | tm "WireNameMgr"
|
|---|
| 1619 | )
|
|---|
| 1620 | )
|
|---|
| 1621 | on &17
|
|---|
| 1622 | )
|
|---|
| 1623 | *49 (Wire
|
|---|
| 1624 | uid 89,0
|
|---|
| 1625 | shape (OrthoPolyLine
|
|---|
| 1626 | uid 90,0
|
|---|
| 1627 | va (VaSet
|
|---|
| 1628 | vasetType 3
|
|---|
| 1629 | )
|
|---|
| 1630 | xt "18750,19000,30000,19000"
|
|---|
| 1631 | pts [
|
|---|
| 1632 | "18750,19000"
|
|---|
| 1633 | "30000,19000"
|
|---|
| 1634 | ]
|
|---|
| 1635 | )
|
|---|
| 1636 | start &6
|
|---|
| 1637 | sat 32
|
|---|
| 1638 | eat 16
|
|---|
| 1639 | st 0
|
|---|
| 1640 | sf 1
|
|---|
| 1641 | si 0
|
|---|
| 1642 | tg (WTG
|
|---|
| 1643 | uid 93,0
|
|---|
| 1644 | ps "ConnStartEndStrategy"
|
|---|
| 1645 | stg "STSignalDisplayStrategy"
|
|---|
| 1646 | f (Text
|
|---|
| 1647 | uid 94,0
|
|---|
| 1648 | va (VaSet
|
|---|
| 1649 | )
|
|---|
| 1650 | xt "20000,18000,28500,19000"
|
|---|
| 1651 | st "additional_flasher_out"
|
|---|
| 1652 | blo "20000,18800"
|
|---|
| 1653 | tm "WireNameMgr"
|
|---|
| 1654 | )
|
|---|
| 1655 | )
|
|---|
| 1656 | on &18
|
|---|
| 1657 | )
|
|---|
| 1658 | *50 (Wire
|
|---|
| 1659 | uid 97,0
|
|---|
| 1660 | shape (OrthoPolyLine
|
|---|
| 1661 | uid 98,0
|
|---|
| 1662 | va (VaSet
|
|---|
| 1663 | vasetType 3
|
|---|
| 1664 | )
|
|---|
| 1665 | xt "-10000,16000,-750,16000"
|
|---|
| 1666 | pts [
|
|---|
| 1667 | "-10000,16000"
|
|---|
| 1668 | "-750,16000"
|
|---|
| 1669 | ]
|
|---|
| 1670 | )
|
|---|
| 1671 | end &2
|
|---|
| 1672 | sat 16
|
|---|
| 1673 | eat 32
|
|---|
| 1674 | st 0
|
|---|
| 1675 | sf 1
|
|---|
| 1676 | si 0
|
|---|
| 1677 | tg (WTG
|
|---|
| 1678 | uid 101,0
|
|---|
| 1679 | ps "ConnStartEndStrategy"
|
|---|
| 1680 | stg "STSignalDisplayStrategy"
|
|---|
| 1681 | f (Text
|
|---|
| 1682 | uid 102,0
|
|---|
| 1683 | va (VaSet
|
|---|
| 1684 | )
|
|---|
| 1685 | xt "-9000,15000,-7100,16000"
|
|---|
| 1686 | st "CLK"
|
|---|
| 1687 | blo "-9000,15800"
|
|---|
| 1688 | tm "WireNameMgr"
|
|---|
| 1689 | )
|
|---|
| 1690 | )
|
|---|
| 1691 | on &19
|
|---|
| 1692 | )
|
|---|
| 1693 | *51 (Wire
|
|---|
| 1694 | uid 105,0
|
|---|
| 1695 | shape (OrthoPolyLine
|
|---|
| 1696 | uid 106,0
|
|---|
| 1697 | va (VaSet
|
|---|
| 1698 | vasetType 3
|
|---|
| 1699 | )
|
|---|
| 1700 | xt "-10000,17000,-750,17000"
|
|---|
| 1701 | pts [
|
|---|
| 1702 | "-10000,17000"
|
|---|
| 1703 | "-750,17000"
|
|---|
| 1704 | ]
|
|---|
| 1705 | )
|
|---|
| 1706 | end &7
|
|---|
| 1707 | sat 16
|
|---|
| 1708 | eat 32
|
|---|
| 1709 | st 0
|
|---|
| 1710 | sf 1
|
|---|
| 1711 | si 0
|
|---|
| 1712 | tg (WTG
|
|---|
| 1713 | uid 109,0
|
|---|
| 1714 | ps "ConnStartEndStrategy"
|
|---|
| 1715 | stg "STSignalDisplayStrategy"
|
|---|
| 1716 | f (Text
|
|---|
| 1717 | uid 110,0
|
|---|
| 1718 | va (VaSet
|
|---|
| 1719 | )
|
|---|
| 1720 | xt "-9000,16000,-6200,17000"
|
|---|
| 1721 | st "trigger"
|
|---|
| 1722 | blo "-9000,16800"
|
|---|
| 1723 | tm "WireNameMgr"
|
|---|
| 1724 | )
|
|---|
| 1725 | )
|
|---|
| 1726 | on &20
|
|---|
| 1727 | )
|
|---|
| 1728 | *52 (Wire
|
|---|
| 1729 | uid 113,0
|
|---|
| 1730 | shape (OrthoPolyLine
|
|---|
| 1731 | uid 114,0
|
|---|
| 1732 | va (VaSet
|
|---|
| 1733 | vasetType 3
|
|---|
| 1734 | )
|
|---|
| 1735 | xt "-10000,18000,-750,18000"
|
|---|
| 1736 | pts [
|
|---|
| 1737 | "-10000,18000"
|
|---|
| 1738 | "-750,18000"
|
|---|
| 1739 | ]
|
|---|
| 1740 | )
|
|---|
| 1741 | end &8
|
|---|
| 1742 | sat 16
|
|---|
| 1743 | eat 32
|
|---|
| 1744 | st 0
|
|---|
| 1745 | sf 1
|
|---|
| 1746 | si 0
|
|---|
| 1747 | tg (WTG
|
|---|
| 1748 | uid 117,0
|
|---|
| 1749 | ps "ConnStartEndStrategy"
|
|---|
| 1750 | stg "STSignalDisplayStrategy"
|
|---|
| 1751 | f (Text
|
|---|
| 1752 | uid 118,0
|
|---|
| 1753 | va (VaSet
|
|---|
| 1754 | )
|
|---|
| 1755 | xt "-9000,17000,-3100,18000"
|
|---|
| 1756 | st "refclk_too_high"
|
|---|
| 1757 | blo "-9000,17800"
|
|---|
| 1758 | tm "WireNameMgr"
|
|---|
| 1759 | )
|
|---|
| 1760 | )
|
|---|
| 1761 | on &21
|
|---|
| 1762 | )
|
|---|
| 1763 | *53 (Wire
|
|---|
| 1764 | uid 121,0
|
|---|
| 1765 | shape (OrthoPolyLine
|
|---|
| 1766 | uid 122,0
|
|---|
| 1767 | va (VaSet
|
|---|
| 1768 | vasetType 3
|
|---|
| 1769 | )
|
|---|
| 1770 | xt "-10000,19000,-750,19000"
|
|---|
| 1771 | pts [
|
|---|
| 1772 | "-10000,19000"
|
|---|
| 1773 | "-750,19000"
|
|---|
| 1774 | ]
|
|---|
| 1775 | )
|
|---|
| 1776 | end &9
|
|---|
| 1777 | sat 16
|
|---|
| 1778 | eat 32
|
|---|
| 1779 | st 0
|
|---|
| 1780 | sf 1
|
|---|
| 1781 | si 0
|
|---|
| 1782 | tg (WTG
|
|---|
| 1783 | uid 125,0
|
|---|
| 1784 | ps "ConnStartEndStrategy"
|
|---|
| 1785 | stg "STSignalDisplayStrategy"
|
|---|
| 1786 | f (Text
|
|---|
| 1787 | uid 126,0
|
|---|
| 1788 | va (VaSet
|
|---|
| 1789 | )
|
|---|
| 1790 | xt "-9000,18000,-3500,19000"
|
|---|
| 1791 | st "refclk_too_low"
|
|---|
| 1792 | blo "-9000,18800"
|
|---|
| 1793 | tm "WireNameMgr"
|
|---|
| 1794 | )
|
|---|
| 1795 | )
|
|---|
| 1796 | on &22
|
|---|
| 1797 | )
|
|---|
| 1798 | *54 (Wire
|
|---|
| 1799 | uid 129,0
|
|---|
| 1800 | shape (OrthoPolyLine
|
|---|
| 1801 | uid 130,0
|
|---|
| 1802 | va (VaSet
|
|---|
| 1803 | vasetType 3
|
|---|
| 1804 | )
|
|---|
| 1805 | xt "-10000,20000,-750,20000"
|
|---|
| 1806 | pts [
|
|---|
| 1807 | "-10000,20000"
|
|---|
| 1808 | "-750,20000"
|
|---|
| 1809 | ]
|
|---|
| 1810 | )
|
|---|
| 1811 | end &10
|
|---|
| 1812 | sat 16
|
|---|
| 1813 | eat 32
|
|---|
| 1814 | st 0
|
|---|
| 1815 | sf 1
|
|---|
| 1816 | si 0
|
|---|
| 1817 | tg (WTG
|
|---|
| 1818 | uid 133,0
|
|---|
| 1819 | ps "ConnStartEndStrategy"
|
|---|
| 1820 | stg "STSignalDisplayStrategy"
|
|---|
| 1821 | f (Text
|
|---|
| 1822 | uid 134,0
|
|---|
| 1823 | va (VaSet
|
|---|
| 1824 | )
|
|---|
| 1825 | xt "-9000,19000,-3500,20000"
|
|---|
| 1826 | st "socks_waiting"
|
|---|
| 1827 | blo "-9000,19800"
|
|---|
| 1828 | tm "WireNameMgr"
|
|---|
| 1829 | )
|
|---|
| 1830 | )
|
|---|
| 1831 | on &23
|
|---|
| 1832 | )
|
|---|
| 1833 | *55 (Wire
|
|---|
| 1834 | uid 137,0
|
|---|
| 1835 | shape (OrthoPolyLine
|
|---|
| 1836 | uid 138,0
|
|---|
| 1837 | va (VaSet
|
|---|
| 1838 | vasetType 3
|
|---|
| 1839 | )
|
|---|
| 1840 | xt "-10000,21000,-750,21000"
|
|---|
| 1841 | pts [
|
|---|
| 1842 | "-10000,21000"
|
|---|
| 1843 | "-750,21000"
|
|---|
| 1844 | ]
|
|---|
| 1845 | )
|
|---|
| 1846 | end &11
|
|---|
| 1847 | sat 16
|
|---|
| 1848 | eat 32
|
|---|
| 1849 | st 0
|
|---|
| 1850 | sf 1
|
|---|
| 1851 | si 0
|
|---|
| 1852 | tg (WTG
|
|---|
| 1853 | uid 141,0
|
|---|
| 1854 | ps "ConnStartEndStrategy"
|
|---|
| 1855 | stg "STSignalDisplayStrategy"
|
|---|
| 1856 | f (Text
|
|---|
| 1857 | uid 142,0
|
|---|
| 1858 | va (VaSet
|
|---|
| 1859 | )
|
|---|
| 1860 | xt "-9000,20000,-2500,21000"
|
|---|
| 1861 | st "socks_connected"
|
|---|
| 1862 | blo "-9000,20800"
|
|---|
| 1863 | tm "WireNameMgr"
|
|---|
| 1864 | )
|
|---|
| 1865 | )
|
|---|
| 1866 | on &24
|
|---|
| 1867 | )
|
|---|
| 1868 | *56 (Wire
|
|---|
| 1869 | uid 153,0
|
|---|
| 1870 | shape (OrthoPolyLine
|
|---|
| 1871 | uid 154,0
|
|---|
| 1872 | va (VaSet
|
|---|
| 1873 | vasetType 3
|
|---|
| 1874 | )
|
|---|
| 1875 | xt "62000,16000,74000,16000"
|
|---|
| 1876 | pts [
|
|---|
| 1877 | "62000,16000"
|
|---|
| 1878 | "74000,16000"
|
|---|
| 1879 | ]
|
|---|
| 1880 | )
|
|---|
| 1881 | start &25
|
|---|
| 1882 | sat 1
|
|---|
| 1883 | eat 16
|
|---|
| 1884 | st 0
|
|---|
| 1885 | sf 1
|
|---|
| 1886 | si 0
|
|---|
| 1887 | tg (WTG
|
|---|
| 1888 | uid 159,0
|
|---|
| 1889 | ps "ConnStartEndStrategy"
|
|---|
| 1890 | stg "STSignalDisplayStrategy"
|
|---|
| 1891 | f (Text
|
|---|
| 1892 | uid 160,0
|
|---|
| 1893 | va (VaSet
|
|---|
| 1894 | )
|
|---|
| 1895 | xt "63000,15000,64500,16000"
|
|---|
| 1896 | st "red"
|
|---|
| 1897 | blo "63000,15800"
|
|---|
| 1898 | tm "WireNameMgr"
|
|---|
| 1899 | )
|
|---|
| 1900 | )
|
|---|
| 1901 | on &17
|
|---|
| 1902 | )
|
|---|
| 1903 | *57 (Wire
|
|---|
| 1904 | uid 161,0
|
|---|
| 1905 | shape (OrthoPolyLine
|
|---|
| 1906 | uid 162,0
|
|---|
| 1907 | va (VaSet
|
|---|
| 1908 | vasetType 3
|
|---|
| 1909 | )
|
|---|
| 1910 | xt "62000,14000,74000,14000"
|
|---|
| 1911 | pts [
|
|---|
| 1912 | "62000,14000"
|
|---|
| 1913 | "74000,14000"
|
|---|
| 1914 | ]
|
|---|
| 1915 | )
|
|---|
| 1916 | start &25
|
|---|
| 1917 | sat 1
|
|---|
| 1918 | eat 16
|
|---|
| 1919 | st 0
|
|---|
| 1920 | sf 1
|
|---|
| 1921 | si 0
|
|---|
| 1922 | tg (WTG
|
|---|
| 1923 | uid 167,0
|
|---|
| 1924 | ps "ConnStartEndStrategy"
|
|---|
| 1925 | stg "STSignalDisplayStrategy"
|
|---|
| 1926 | f (Text
|
|---|
| 1927 | uid 168,0
|
|---|
| 1928 | va (VaSet
|
|---|
| 1929 | )
|
|---|
| 1930 | xt "63000,13000,65400,14000"
|
|---|
| 1931 | st "green"
|
|---|
| 1932 | blo "63000,13800"
|
|---|
| 1933 | tm "WireNameMgr"
|
|---|
| 1934 | )
|
|---|
| 1935 | )
|
|---|
| 1936 | on &15
|
|---|
| 1937 | )
|
|---|
| 1938 | *58 (Wire
|
|---|
| 1939 | uid 169,0
|
|---|
| 1940 | shape (OrthoPolyLine
|
|---|
| 1941 | uid 170,0
|
|---|
| 1942 | va (VaSet
|
|---|
| 1943 | vasetType 3
|
|---|
| 1944 | )
|
|---|
| 1945 | xt "34000,15000,44000,15000"
|
|---|
| 1946 | pts [
|
|---|
| 1947 | "34000,15000"
|
|---|
| 1948 | "44000,15000"
|
|---|
| 1949 | ]
|
|---|
| 1950 | )
|
|---|
| 1951 | end &25
|
|---|
| 1952 | sat 16
|
|---|
| 1953 | eat 2
|
|---|
| 1954 | st 0
|
|---|
| 1955 | sf 1
|
|---|
| 1956 | si 0
|
|---|
| 1957 | tg (WTG
|
|---|
| 1958 | uid 175,0
|
|---|
| 1959 | ps "ConnStartEndStrategy"
|
|---|
| 1960 | stg "STSignalDisplayStrategy"
|
|---|
| 1961 | f (Text
|
|---|
| 1962 | uid 176,0
|
|---|
| 1963 | va (VaSet
|
|---|
| 1964 | )
|
|---|
| 1965 | xt "35000,14000,37800,15000"
|
|---|
| 1966 | st "trigger"
|
|---|
| 1967 | blo "35000,14800"
|
|---|
| 1968 | tm "WireNameMgr"
|
|---|
| 1969 | )
|
|---|
| 1970 | )
|
|---|
| 1971 | on &20
|
|---|
| 1972 | )
|
|---|
| 1973 | *59 (Wire
|
|---|
| 1974 | uid 177,0
|
|---|
| 1975 | shape (OrthoPolyLine
|
|---|
| 1976 | uid 178,0
|
|---|
| 1977 | va (VaSet
|
|---|
| 1978 | vasetType 3
|
|---|
| 1979 | )
|
|---|
| 1980 | xt "62000,17000,74000,17000"
|
|---|
| 1981 | pts [
|
|---|
| 1982 | "62000,17000"
|
|---|
| 1983 | "74000,17000"
|
|---|
| 1984 | ]
|
|---|
| 1985 | )
|
|---|
| 1986 | start &25
|
|---|
| 1987 | sat 1
|
|---|
| 1988 | eat 16
|
|---|
| 1989 | st 0
|
|---|
| 1990 | sf 1
|
|---|
| 1991 | si 0
|
|---|
| 1992 | tg (WTG
|
|---|
| 1993 | uid 183,0
|
|---|
| 1994 | ps "ConnStartEndStrategy"
|
|---|
| 1995 | stg "STSignalDisplayStrategy"
|
|---|
| 1996 | f (Text
|
|---|
| 1997 | uid 184,0
|
|---|
| 1998 | va (VaSet
|
|---|
| 1999 | )
|
|---|
| 2000 | xt "63000,16000,71500,17000"
|
|---|
| 2001 | st "additional_flasher_out"
|
|---|
| 2002 | blo "63000,16800"
|
|---|
| 2003 | tm "WireNameMgr"
|
|---|
| 2004 | )
|
|---|
| 2005 | )
|
|---|
| 2006 | on &18
|
|---|
| 2007 | )
|
|---|
| 2008 | *60 (Wire
|
|---|
| 2009 | uid 185,0
|
|---|
| 2010 | shape (OrthoPolyLine
|
|---|
| 2011 | uid 186,0
|
|---|
| 2012 | va (VaSet
|
|---|
| 2013 | vasetType 3
|
|---|
| 2014 | )
|
|---|
| 2015 | xt "34000,18000,44000,18000"
|
|---|
| 2016 | pts [
|
|---|
| 2017 | "34000,18000"
|
|---|
| 2018 | "44000,18000"
|
|---|
| 2019 | ]
|
|---|
| 2020 | )
|
|---|
| 2021 | end &25
|
|---|
| 2022 | sat 16
|
|---|
| 2023 | eat 2
|
|---|
| 2024 | st 0
|
|---|
| 2025 | sf 1
|
|---|
| 2026 | si 0
|
|---|
| 2027 | tg (WTG
|
|---|
| 2028 | uid 191,0
|
|---|
| 2029 | ps "ConnStartEndStrategy"
|
|---|
| 2030 | stg "STSignalDisplayStrategy"
|
|---|
| 2031 | f (Text
|
|---|
| 2032 | uid 192,0
|
|---|
| 2033 | va (VaSet
|
|---|
| 2034 | )
|
|---|
| 2035 | xt "35000,17000,40500,18000"
|
|---|
| 2036 | st "socks_waiting"
|
|---|
| 2037 | blo "35000,17800"
|
|---|
| 2038 | tm "WireNameMgr"
|
|---|
| 2039 | )
|
|---|
| 2040 | )
|
|---|
| 2041 | on &23
|
|---|
| 2042 | )
|
|---|
| 2043 | *61 (Wire
|
|---|
| 2044 | uid 193,0
|
|---|
| 2045 | shape (OrthoPolyLine
|
|---|
| 2046 | uid 194,0
|
|---|
| 2047 | va (VaSet
|
|---|
| 2048 | vasetType 3
|
|---|
| 2049 | )
|
|---|
| 2050 | xt "34000,16000,44000,16000"
|
|---|
| 2051 | pts [
|
|---|
| 2052 | "34000,16000"
|
|---|
| 2053 | "44000,16000"
|
|---|
| 2054 | ]
|
|---|
| 2055 | )
|
|---|
| 2056 | end &25
|
|---|
| 2057 | sat 16
|
|---|
| 2058 | eat 2
|
|---|
| 2059 | st 0
|
|---|
| 2060 | sf 1
|
|---|
| 2061 | si 0
|
|---|
| 2062 | tg (WTG
|
|---|
| 2063 | uid 199,0
|
|---|
| 2064 | ps "ConnStartEndStrategy"
|
|---|
| 2065 | stg "STSignalDisplayStrategy"
|
|---|
| 2066 | f (Text
|
|---|
| 2067 | uid 200,0
|
|---|
| 2068 | va (VaSet
|
|---|
| 2069 | )
|
|---|
| 2070 | xt "35000,15000,40900,16000"
|
|---|
| 2071 | st "refclk_too_high"
|
|---|
| 2072 | blo "35000,15800"
|
|---|
| 2073 | tm "WireNameMgr"
|
|---|
| 2074 | )
|
|---|
| 2075 | )
|
|---|
| 2076 | on &21
|
|---|
| 2077 | )
|
|---|
| 2078 | *62 (Wire
|
|---|
| 2079 | uid 201,0
|
|---|
| 2080 | shape (OrthoPolyLine
|
|---|
| 2081 | uid 202,0
|
|---|
| 2082 | va (VaSet
|
|---|
| 2083 | vasetType 3
|
|---|
| 2084 | )
|
|---|
| 2085 | xt "34000,17000,44000,17000"
|
|---|
| 2086 | pts [
|
|---|
| 2087 | "34000,17000"
|
|---|
| 2088 | "44000,17000"
|
|---|
| 2089 | ]
|
|---|
| 2090 | )
|
|---|
| 2091 | end &25
|
|---|
| 2092 | sat 16
|
|---|
| 2093 | eat 2
|
|---|
| 2094 | st 0
|
|---|
| 2095 | sf 1
|
|---|
| 2096 | si 0
|
|---|
| 2097 | tg (WTG
|
|---|
| 2098 | uid 207,0
|
|---|
| 2099 | ps "ConnStartEndStrategy"
|
|---|
| 2100 | stg "STSignalDisplayStrategy"
|
|---|
| 2101 | f (Text
|
|---|
| 2102 | uid 208,0
|
|---|
| 2103 | va (VaSet
|
|---|
| 2104 | )
|
|---|
| 2105 | xt "35000,16000,40500,17000"
|
|---|
| 2106 | st "refclk_too_low"
|
|---|
| 2107 | blo "35000,16800"
|
|---|
| 2108 | tm "WireNameMgr"
|
|---|
| 2109 | )
|
|---|
| 2110 | )
|
|---|
| 2111 | on &22
|
|---|
| 2112 | )
|
|---|
| 2113 | *63 (Wire
|
|---|
| 2114 | uid 217,0
|
|---|
| 2115 | shape (OrthoPolyLine
|
|---|
| 2116 | uid 218,0
|
|---|
| 2117 | va (VaSet
|
|---|
| 2118 | vasetType 3
|
|---|
| 2119 | )
|
|---|
| 2120 | xt "62000,15000,74000,15000"
|
|---|
| 2121 | pts [
|
|---|
| 2122 | "62000,15000"
|
|---|
| 2123 | "74000,15000"
|
|---|
| 2124 | ]
|
|---|
| 2125 | )
|
|---|
| 2126 | start &25
|
|---|
| 2127 | sat 1
|
|---|
| 2128 | eat 16
|
|---|
| 2129 | st 0
|
|---|
| 2130 | sf 1
|
|---|
| 2131 | si 0
|
|---|
| 2132 | tg (WTG
|
|---|
| 2133 | uid 223,0
|
|---|
| 2134 | ps "ConnStartEndStrategy"
|
|---|
| 2135 | stg "STSignalDisplayStrategy"
|
|---|
| 2136 | f (Text
|
|---|
| 2137 | uid 224,0
|
|---|
| 2138 | va (VaSet
|
|---|
| 2139 | )
|
|---|
| 2140 | xt "63000,14000,65500,15000"
|
|---|
| 2141 | st "amber"
|
|---|
| 2142 | blo "63000,14800"
|
|---|
| 2143 | tm "WireNameMgr"
|
|---|
| 2144 | )
|
|---|
| 2145 | )
|
|---|
| 2146 | on &16
|
|---|
| 2147 | )
|
|---|
| 2148 | *64 (Wire
|
|---|
| 2149 | uid 225,0
|
|---|
| 2150 | shape (OrthoPolyLine
|
|---|
| 2151 | uid 226,0
|
|---|
| 2152 | va (VaSet
|
|---|
| 2153 | vasetType 3
|
|---|
| 2154 | )
|
|---|
| 2155 | xt "34000,19000,44000,19000"
|
|---|
| 2156 | pts [
|
|---|
| 2157 | "34000,19000"
|
|---|
| 2158 | "44000,19000"
|
|---|
| 2159 | ]
|
|---|
| 2160 | )
|
|---|
| 2161 | end &25
|
|---|
| 2162 | sat 16
|
|---|
| 2163 | eat 2
|
|---|
| 2164 | st 0
|
|---|
| 2165 | sf 1
|
|---|
| 2166 | si 0
|
|---|
| 2167 | tg (WTG
|
|---|
| 2168 | uid 231,0
|
|---|
| 2169 | ps "ConnStartEndStrategy"
|
|---|
| 2170 | stg "STSignalDisplayStrategy"
|
|---|
| 2171 | f (Text
|
|---|
| 2172 | uid 232,0
|
|---|
| 2173 | va (VaSet
|
|---|
| 2174 | )
|
|---|
| 2175 | xt "35000,18000,41500,19000"
|
|---|
| 2176 | st "socks_connected"
|
|---|
| 2177 | blo "35000,18800"
|
|---|
| 2178 | tm "WireNameMgr"
|
|---|
| 2179 | )
|
|---|
| 2180 | )
|
|---|
| 2181 | on &24
|
|---|
| 2182 | )
|
|---|
| 2183 | *65 (Wire
|
|---|
| 2184 | uid 434,0
|
|---|
| 2185 | shape (OrthoPolyLine
|
|---|
| 2186 | uid 435,0
|
|---|
| 2187 | va (VaSet
|
|---|
| 2188 | vasetType 3
|
|---|
| 2189 | )
|
|---|
| 2190 | xt "7750,32000,12000,32000"
|
|---|
| 2191 | pts [
|
|---|
| 2192 | "7750,32000"
|
|---|
| 2193 | "12000,32000"
|
|---|
| 2194 | ]
|
|---|
| 2195 | )
|
|---|
| 2196 | start &41
|
|---|
| 2197 | sat 32
|
|---|
| 2198 | eat 16
|
|---|
| 2199 | st 0
|
|---|
| 2200 | sf 1
|
|---|
| 2201 | si 0
|
|---|
| 2202 | tg (WTG
|
|---|
| 2203 | uid 438,0
|
|---|
| 2204 | ps "ConnStartEndStrategy"
|
|---|
| 2205 | stg "STSignalDisplayStrategy"
|
|---|
| 2206 | f (Text
|
|---|
| 2207 | uid 439,0
|
|---|
| 2208 | va (VaSet
|
|---|
| 2209 | )
|
|---|
| 2210 | xt "9000,31000,10900,32000"
|
|---|
| 2211 | st "CLK"
|
|---|
| 2212 | blo "9000,31800"
|
|---|
| 2213 | tm "WireNameMgr"
|
|---|
| 2214 | )
|
|---|
| 2215 | )
|
|---|
| 2216 | on &19
|
|---|
| 2217 | )
|
|---|
| 2218 | ]
|
|---|
| 2219 | bg "65535,65535,65535"
|
|---|
| 2220 | grid (Grid
|
|---|
| 2221 | origin "0,0"
|
|---|
| 2222 | isVisible 1
|
|---|
| 2223 | isActive 1
|
|---|
| 2224 | xSpacing 1000
|
|---|
| 2225 | xySpacing 1000
|
|---|
| 2226 | xShown 1
|
|---|
| 2227 | yShown 1
|
|---|
| 2228 | color "26368,26368,26368"
|
|---|
| 2229 | )
|
|---|
| 2230 | packageList *66 (PackageList
|
|---|
| 2231 | uid 285,0
|
|---|
| 2232 | stg "VerticalLayoutStrategy"
|
|---|
| 2233 | textVec [
|
|---|
| 2234 | *67 (Text
|
|---|
| 2235 | uid 286,0
|
|---|
| 2236 | va (VaSet
|
|---|
| 2237 | font "arial,8,1"
|
|---|
| 2238 | )
|
|---|
| 2239 | xt "0,0,5400,1000"
|
|---|
| 2240 | st "Package List"
|
|---|
| 2241 | blo "0,800"
|
|---|
| 2242 | )
|
|---|
| 2243 | *68 (MLText
|
|---|
| 2244 | uid 287,0
|
|---|
| 2245 | va (VaSet
|
|---|
| 2246 | )
|
|---|
| 2247 | xt "0,1000,15300,7000"
|
|---|
| 2248 | st "LIBRARY ieee;
|
|---|
| 2249 | USE ieee.std_logic_1164.ALL;
|
|---|
| 2250 | USE ieee.std_logic_arith.ALL;
|
|---|
| 2251 | USE ieee.STD_LOGIC_UNSIGNED.ALL;
|
|---|
| 2252 | LIBRARY FACT_FAD_lib;
|
|---|
| 2253 | USE FACT_FAD_lib.fad_definitions.ALL;"
|
|---|
| 2254 | tm "PackageList"
|
|---|
| 2255 | )
|
|---|
| 2256 | ]
|
|---|
| 2257 | )
|
|---|
| 2258 | compDirBlock (MlTextGroup
|
|---|
| 2259 | uid 288,0
|
|---|
| 2260 | stg "VerticalLayoutStrategy"
|
|---|
| 2261 | textVec [
|
|---|
| 2262 | *69 (Text
|
|---|
| 2263 | uid 289,0
|
|---|
| 2264 | va (VaSet
|
|---|
| 2265 | isHidden 1
|
|---|
| 2266 | font "Arial,8,1"
|
|---|
| 2267 | )
|
|---|
| 2268 | xt "20000,0,28100,1000"
|
|---|
| 2269 | st "Compiler Directives"
|
|---|
| 2270 | blo "20000,800"
|
|---|
| 2271 | )
|
|---|
| 2272 | *70 (Text
|
|---|
| 2273 | uid 290,0
|
|---|
| 2274 | va (VaSet
|
|---|
| 2275 | isHidden 1
|
|---|
| 2276 | font "Arial,8,1"
|
|---|
| 2277 | )
|
|---|
| 2278 | xt "20000,1000,29600,2000"
|
|---|
| 2279 | st "Pre-module directives:"
|
|---|
| 2280 | blo "20000,1800"
|
|---|
| 2281 | )
|
|---|
| 2282 | *71 (MLText
|
|---|
| 2283 | uid 291,0
|
|---|
| 2284 | va (VaSet
|
|---|
| 2285 | isHidden 1
|
|---|
| 2286 | )
|
|---|
| 2287 | xt "20000,2000,27500,4000"
|
|---|
| 2288 | st "`resetall
|
|---|
| 2289 | `timescale 1ns/10ps"
|
|---|
| 2290 | tm "BdCompilerDirectivesTextMgr"
|
|---|
| 2291 | )
|
|---|
| 2292 | *72 (Text
|
|---|
| 2293 | uid 292,0
|
|---|
| 2294 | va (VaSet
|
|---|
| 2295 | isHidden 1
|
|---|
| 2296 | font "Arial,8,1"
|
|---|
| 2297 | )
|
|---|
| 2298 | xt "20000,4000,30100,5000"
|
|---|
| 2299 | st "Post-module directives:"
|
|---|
| 2300 | blo "20000,4800"
|
|---|
| 2301 | )
|
|---|
| 2302 | *73 (MLText
|
|---|
| 2303 | uid 293,0
|
|---|
| 2304 | va (VaSet
|
|---|
| 2305 | isHidden 1
|
|---|
| 2306 | )
|
|---|
| 2307 | xt "20000,0,20000,0"
|
|---|
| 2308 | tm "BdCompilerDirectivesTextMgr"
|
|---|
| 2309 | )
|
|---|
| 2310 | *74 (Text
|
|---|
| 2311 | uid 294,0
|
|---|
| 2312 | va (VaSet
|
|---|
| 2313 | isHidden 1
|
|---|
| 2314 | font "Arial,8,1"
|
|---|
| 2315 | )
|
|---|
| 2316 | xt "20000,5000,29900,6000"
|
|---|
| 2317 | st "End-module directives:"
|
|---|
| 2318 | blo "20000,5800"
|
|---|
| 2319 | )
|
|---|
| 2320 | *75 (MLText
|
|---|
| 2321 | uid 295,0
|
|---|
| 2322 | va (VaSet
|
|---|
| 2323 | isHidden 1
|
|---|
| 2324 | )
|
|---|
| 2325 | xt "20000,6000,20000,6000"
|
|---|
| 2326 | tm "BdCompilerDirectivesTextMgr"
|
|---|
| 2327 | )
|
|---|
| 2328 | ]
|
|---|
| 2329 | associable 1
|
|---|
| 2330 | )
|
|---|
| 2331 | windowSize "0,0,1016,690"
|
|---|
| 2332 | viewArea "-7610,-500,64120,49000"
|
|---|
| 2333 | cachedDiagramExtent "-10400,0,74400,51000"
|
|---|
| 2334 | hasePageBreakOrigin 1
|
|---|
| 2335 | pageBreakOrigin "-11000,0"
|
|---|
| 2336 | lastUid 439,0
|
|---|
| 2337 | defaultCommentText (CommentText
|
|---|
| 2338 | shape (Rectangle
|
|---|
| 2339 | layer 0
|
|---|
| 2340 | va (VaSet
|
|---|
| 2341 | vasetType 1
|
|---|
| 2342 | fg "65280,65280,46080"
|
|---|
| 2343 | lineColor "0,0,32768"
|
|---|
| 2344 | )
|
|---|
| 2345 | xt "0,0,15000,5000"
|
|---|
| 2346 | )
|
|---|
| 2347 | text (MLText
|
|---|
| 2348 | va (VaSet
|
|---|
| 2349 | fg "0,0,32768"
|
|---|
| 2350 | )
|
|---|
| 2351 | xt "200,200,2000,1200"
|
|---|
| 2352 | st "
|
|---|
| 2353 | Text
|
|---|
| 2354 | "
|
|---|
| 2355 | tm "CommentText"
|
|---|
| 2356 | wrapOption 3
|
|---|
| 2357 | visibleHeight 4600
|
|---|
| 2358 | visibleWidth 14600
|
|---|
| 2359 | )
|
|---|
| 2360 | )
|
|---|
| 2361 | defaultPanel (Panel
|
|---|
| 2362 | shape (RectFrame
|
|---|
| 2363 | va (VaSet
|
|---|
| 2364 | vasetType 1
|
|---|
| 2365 | fg "65535,65535,65535"
|
|---|
| 2366 | lineColor "32768,0,0"
|
|---|
| 2367 | lineWidth 3
|
|---|
| 2368 | )
|
|---|
| 2369 | xt "0,0,20000,20000"
|
|---|
| 2370 | )
|
|---|
| 2371 | title (TextAssociate
|
|---|
| 2372 | ps "TopLeftStrategy"
|
|---|
| 2373 | text (Text
|
|---|
| 2374 | va (VaSet
|
|---|
| 2375 | font "Arial,8,1"
|
|---|
| 2376 | )
|
|---|
| 2377 | xt "1000,1000,3800,2000"
|
|---|
| 2378 | st "Panel0"
|
|---|
| 2379 | blo "1000,1800"
|
|---|
| 2380 | tm "PanelText"
|
|---|
| 2381 | )
|
|---|
| 2382 | )
|
|---|
| 2383 | )
|
|---|
| 2384 | defaultBlk (Blk
|
|---|
| 2385 | shape (Rectangle
|
|---|
| 2386 | va (VaSet
|
|---|
| 2387 | vasetType 1
|
|---|
| 2388 | fg "39936,56832,65280"
|
|---|
| 2389 | lineColor "0,0,32768"
|
|---|
| 2390 | lineWidth 2
|
|---|
| 2391 | )
|
|---|
| 2392 | xt "0,0,8000,10000"
|
|---|
| 2393 | )
|
|---|
| 2394 | ttg (MlTextGroup
|
|---|
| 2395 | ps "CenterOffsetStrategy"
|
|---|
| 2396 | stg "VerticalLayoutStrategy"
|
|---|
| 2397 | textVec [
|
|---|
| 2398 | *76 (Text
|
|---|
| 2399 | va (VaSet
|
|---|
| 2400 | font "Arial,8,1"
|
|---|
| 2401 | )
|
|---|
| 2402 | xt "2200,3500,5800,4500"
|
|---|
| 2403 | st "<library>"
|
|---|
| 2404 | blo "2200,4300"
|
|---|
| 2405 | tm "BdLibraryNameMgr"
|
|---|
| 2406 | )
|
|---|
| 2407 | *77 (Text
|
|---|
| 2408 | va (VaSet
|
|---|
| 2409 | font "Arial,8,1"
|
|---|
| 2410 | )
|
|---|
| 2411 | xt "2200,4500,5600,5500"
|
|---|
| 2412 | st "<block>"
|
|---|
| 2413 | blo "2200,5300"
|
|---|
| 2414 | tm "BlkNameMgr"
|
|---|
| 2415 | )
|
|---|
| 2416 | *78 (Text
|
|---|
| 2417 | va (VaSet
|
|---|
| 2418 | font "Arial,8,1"
|
|---|
| 2419 | )
|
|---|
| 2420 | xt "2200,5500,4000,6500"
|
|---|
| 2421 | st "U_0"
|
|---|
| 2422 | blo "2200,6300"
|
|---|
| 2423 | tm "InstanceNameMgr"
|
|---|
| 2424 | )
|
|---|
| 2425 | ]
|
|---|
| 2426 | )
|
|---|
| 2427 | ga (GenericAssociation
|
|---|
| 2428 | ps "EdgeToEdgeStrategy"
|
|---|
| 2429 | matrix (Matrix
|
|---|
| 2430 | text (MLText
|
|---|
| 2431 | va (VaSet
|
|---|
| 2432 | font "Courier New,8,0"
|
|---|
| 2433 | )
|
|---|
| 2434 | xt "2200,13500,2200,13500"
|
|---|
| 2435 | )
|
|---|
| 2436 | header ""
|
|---|
| 2437 | )
|
|---|
| 2438 | elements [
|
|---|
| 2439 | ]
|
|---|
| 2440 | )
|
|---|
| 2441 | viewicon (ZoomableIcon
|
|---|
| 2442 | sl 0
|
|---|
| 2443 | va (VaSet
|
|---|
| 2444 | vasetType 1
|
|---|
| 2445 | fg "49152,49152,49152"
|
|---|
| 2446 | )
|
|---|
| 2447 | xt "0,0,1500,1500"
|
|---|
| 2448 | iconName "UnknownFile.png"
|
|---|
| 2449 | iconMaskName "UnknownFile.msk"
|
|---|
| 2450 | )
|
|---|
| 2451 | viewiconposition 0
|
|---|
| 2452 | )
|
|---|
| 2453 | defaultMWComponent (MWC
|
|---|
| 2454 | shape (Rectangle
|
|---|
| 2455 | va (VaSet
|
|---|
| 2456 | vasetType 1
|
|---|
| 2457 | fg "0,65535,0"
|
|---|
| 2458 | lineColor "0,32896,0"
|
|---|
| 2459 | lineWidth 2
|
|---|
| 2460 | )
|
|---|
| 2461 | xt "0,0,8000,10000"
|
|---|
| 2462 | )
|
|---|
| 2463 | ttg (MlTextGroup
|
|---|
| 2464 | ps "CenterOffsetStrategy"
|
|---|
| 2465 | stg "VerticalLayoutStrategy"
|
|---|
| 2466 | textVec [
|
|---|
| 2467 | *79 (Text
|
|---|
| 2468 | va (VaSet
|
|---|
| 2469 | font "Arial,8,1"
|
|---|
| 2470 | )
|
|---|
| 2471 | xt "550,3500,3450,4500"
|
|---|
| 2472 | st "Library"
|
|---|
| 2473 | blo "550,4300"
|
|---|
| 2474 | )
|
|---|
| 2475 | *80 (Text
|
|---|
| 2476 | va (VaSet
|
|---|
| 2477 | font "Arial,8,1"
|
|---|
| 2478 | )
|
|---|
| 2479 | xt "550,4500,7450,5500"
|
|---|
| 2480 | st "MWComponent"
|
|---|
| 2481 | blo "550,5300"
|
|---|
| 2482 | )
|
|---|
| 2483 | *81 (Text
|
|---|
| 2484 | va (VaSet
|
|---|
| 2485 | font "Arial,8,1"
|
|---|
| 2486 | )
|
|---|
| 2487 | xt "550,5500,2350,6500"
|
|---|
| 2488 | st "U_0"
|
|---|
| 2489 | blo "550,6300"
|
|---|
| 2490 | tm "InstanceNameMgr"
|
|---|
| 2491 | )
|
|---|
| 2492 | ]
|
|---|
| 2493 | )
|
|---|
| 2494 | ga (GenericAssociation
|
|---|
| 2495 | ps "EdgeToEdgeStrategy"
|
|---|
| 2496 | matrix (Matrix
|
|---|
| 2497 | text (MLText
|
|---|
| 2498 | va (VaSet
|
|---|
| 2499 | font "Courier New,8,0"
|
|---|
| 2500 | )
|
|---|
| 2501 | xt "-6450,1500,-6450,1500"
|
|---|
| 2502 | )
|
|---|
| 2503 | header ""
|
|---|
| 2504 | )
|
|---|
| 2505 | elements [
|
|---|
| 2506 | ]
|
|---|
| 2507 | )
|
|---|
| 2508 | portVis (PortSigDisplay
|
|---|
| 2509 | )
|
|---|
| 2510 | prms (Property
|
|---|
| 2511 | pclass "params"
|
|---|
| 2512 | pname "params"
|
|---|
| 2513 | ptn "String"
|
|---|
| 2514 | )
|
|---|
| 2515 | visOptions (mwParamsVisibilityOptions
|
|---|
| 2516 | )
|
|---|
| 2517 | )
|
|---|
| 2518 | defaultSaComponent (SaComponent
|
|---|
| 2519 | shape (Rectangle
|
|---|
| 2520 | va (VaSet
|
|---|
| 2521 | vasetType 1
|
|---|
| 2522 | fg "0,65535,0"
|
|---|
| 2523 | lineColor "0,32896,0"
|
|---|
| 2524 | lineWidth 2
|
|---|
| 2525 | )
|
|---|
| 2526 | xt "0,0,8000,10000"
|
|---|
| 2527 | )
|
|---|
| 2528 | ttg (MlTextGroup
|
|---|
| 2529 | ps "CenterOffsetStrategy"
|
|---|
| 2530 | stg "VerticalLayoutStrategy"
|
|---|
| 2531 | textVec [
|
|---|
| 2532 | *82 (Text
|
|---|
| 2533 | va (VaSet
|
|---|
| 2534 | font "Arial,8,1"
|
|---|
| 2535 | )
|
|---|
| 2536 | xt "900,3500,3800,4500"
|
|---|
| 2537 | st "Library"
|
|---|
| 2538 | blo "900,4300"
|
|---|
| 2539 | tm "BdLibraryNameMgr"
|
|---|
| 2540 | )
|
|---|
| 2541 | *83 (Text
|
|---|
| 2542 | va (VaSet
|
|---|
| 2543 | font "Arial,8,1"
|
|---|
| 2544 | )
|
|---|
| 2545 | xt "900,4500,7100,5500"
|
|---|
| 2546 | st "SaComponent"
|
|---|
| 2547 | blo "900,5300"
|
|---|
| 2548 | tm "CptNameMgr"
|
|---|
| 2549 | )
|
|---|
| 2550 | *84 (Text
|
|---|
| 2551 | va (VaSet
|
|---|
| 2552 | font "Arial,8,1"
|
|---|
| 2553 | )
|
|---|
| 2554 | xt "900,5500,2700,6500"
|
|---|
| 2555 | st "U_0"
|
|---|
| 2556 | blo "900,6300"
|
|---|
| 2557 | tm "InstanceNameMgr"
|
|---|
| 2558 | )
|
|---|
| 2559 | ]
|
|---|
| 2560 | )
|
|---|
| 2561 | ga (GenericAssociation
|
|---|
| 2562 | ps "EdgeToEdgeStrategy"
|
|---|
| 2563 | matrix (Matrix
|
|---|
| 2564 | text (MLText
|
|---|
| 2565 | va (VaSet
|
|---|
| 2566 | font "Courier New,8,0"
|
|---|
| 2567 | )
|
|---|
| 2568 | xt "-6100,1500,-6100,1500"
|
|---|
| 2569 | )
|
|---|
| 2570 | header ""
|
|---|
| 2571 | )
|
|---|
| 2572 | elements [
|
|---|
| 2573 | ]
|
|---|
| 2574 | )
|
|---|
| 2575 | viewicon (ZoomableIcon
|
|---|
| 2576 | sl 0
|
|---|
| 2577 | va (VaSet
|
|---|
| 2578 | vasetType 1
|
|---|
| 2579 | fg "49152,49152,49152"
|
|---|
| 2580 | )
|
|---|
| 2581 | xt "0,0,1500,1500"
|
|---|
| 2582 | iconName "UnknownFile.png"
|
|---|
| 2583 | iconMaskName "UnknownFile.msk"
|
|---|
| 2584 | )
|
|---|
| 2585 | viewiconposition 0
|
|---|
| 2586 | portVis (PortSigDisplay
|
|---|
| 2587 | )
|
|---|
| 2588 | archFileType "UNKNOWN"
|
|---|
| 2589 | )
|
|---|
| 2590 | defaultVhdlComponent (VhdlComponent
|
|---|
| 2591 | shape (Rectangle
|
|---|
| 2592 | va (VaSet
|
|---|
| 2593 | vasetType 1
|
|---|
| 2594 | fg "0,65535,0"
|
|---|
| 2595 | lineColor "0,32896,0"
|
|---|
| 2596 | lineWidth 2
|
|---|
| 2597 | )
|
|---|
| 2598 | xt "0,0,8000,10000"
|
|---|
| 2599 | )
|
|---|
| 2600 | ttg (MlTextGroup
|
|---|
| 2601 | ps "CenterOffsetStrategy"
|
|---|
| 2602 | stg "VerticalLayoutStrategy"
|
|---|
| 2603 | textVec [
|
|---|
| 2604 | *85 (Text
|
|---|
| 2605 | va (VaSet
|
|---|
| 2606 | font "Arial,8,1"
|
|---|
| 2607 | )
|
|---|
| 2608 | xt "500,3500,3400,4500"
|
|---|
| 2609 | st "Library"
|
|---|
| 2610 | blo "500,4300"
|
|---|
| 2611 | )
|
|---|
| 2612 | *86 (Text
|
|---|
| 2613 | va (VaSet
|
|---|
| 2614 | font "Arial,8,1"
|
|---|
| 2615 | )
|
|---|
| 2616 | xt "500,4500,7500,5500"
|
|---|
| 2617 | st "VhdlComponent"
|
|---|
| 2618 | blo "500,5300"
|
|---|
| 2619 | )
|
|---|
| 2620 | *87 (Text
|
|---|
| 2621 | va (VaSet
|
|---|
| 2622 | font "Arial,8,1"
|
|---|
| 2623 | )
|
|---|
| 2624 | xt "500,5500,2300,6500"
|
|---|
| 2625 | st "U_0"
|
|---|
| 2626 | blo "500,6300"
|
|---|
| 2627 | tm "InstanceNameMgr"
|
|---|
| 2628 | )
|
|---|
| 2629 | ]
|
|---|
| 2630 | )
|
|---|
| 2631 | ga (GenericAssociation
|
|---|
| 2632 | ps "EdgeToEdgeStrategy"
|
|---|
| 2633 | matrix (Matrix
|
|---|
| 2634 | text (MLText
|
|---|
| 2635 | va (VaSet
|
|---|
| 2636 | font "Courier New,8,0"
|
|---|
| 2637 | )
|
|---|
| 2638 | xt "-6500,1500,-6500,1500"
|
|---|
| 2639 | )
|
|---|
| 2640 | header ""
|
|---|
| 2641 | )
|
|---|
| 2642 | elements [
|
|---|
| 2643 | ]
|
|---|
| 2644 | )
|
|---|
| 2645 | portVis (PortSigDisplay
|
|---|
| 2646 | )
|
|---|
| 2647 | entityPath ""
|
|---|
| 2648 | archName ""
|
|---|
| 2649 | archPath ""
|
|---|
| 2650 | )
|
|---|
| 2651 | defaultVerilogComponent (VerilogComponent
|
|---|
| 2652 | shape (Rectangle
|
|---|
| 2653 | va (VaSet
|
|---|
| 2654 | vasetType 1
|
|---|
| 2655 | fg "0,65535,0"
|
|---|
| 2656 | lineColor "0,32896,0"
|
|---|
| 2657 | lineWidth 2
|
|---|
| 2658 | )
|
|---|
| 2659 | xt "-450,0,8450,10000"
|
|---|
| 2660 | )
|
|---|
| 2661 | ttg (MlTextGroup
|
|---|
| 2662 | ps "CenterOffsetStrategy"
|
|---|
| 2663 | stg "VerticalLayoutStrategy"
|
|---|
| 2664 | textVec [
|
|---|
| 2665 | *88 (Text
|
|---|
| 2666 | va (VaSet
|
|---|
| 2667 | font "Arial,8,1"
|
|---|
| 2668 | )
|
|---|
| 2669 | xt "50,3500,2950,4500"
|
|---|
| 2670 | st "Library"
|
|---|
| 2671 | blo "50,4300"
|
|---|
| 2672 | )
|
|---|
| 2673 | *89 (Text
|
|---|
| 2674 | va (VaSet
|
|---|
| 2675 | font "Arial,8,1"
|
|---|
| 2676 | )
|
|---|
| 2677 | xt "50,4500,7950,5500"
|
|---|
| 2678 | st "VerilogComponent"
|
|---|
| 2679 | blo "50,5300"
|
|---|
| 2680 | )
|
|---|
| 2681 | *90 (Text
|
|---|
| 2682 | va (VaSet
|
|---|
| 2683 | font "Arial,8,1"
|
|---|
| 2684 | )
|
|---|
| 2685 | xt "50,5500,1850,6500"
|
|---|
| 2686 | st "U_0"
|
|---|
| 2687 | blo "50,6300"
|
|---|
| 2688 | tm "InstanceNameMgr"
|
|---|
| 2689 | )
|
|---|
| 2690 | ]
|
|---|
| 2691 | )
|
|---|
| 2692 | ga (GenericAssociation
|
|---|
| 2693 | ps "EdgeToEdgeStrategy"
|
|---|
| 2694 | matrix (Matrix
|
|---|
| 2695 | text (MLText
|
|---|
| 2696 | va (VaSet
|
|---|
| 2697 | font "Courier New,8,0"
|
|---|
| 2698 | )
|
|---|
| 2699 | xt "-6950,1500,-6950,1500"
|
|---|
| 2700 | )
|
|---|
| 2701 | header ""
|
|---|
| 2702 | )
|
|---|
| 2703 | elements [
|
|---|
| 2704 | ]
|
|---|
| 2705 | )
|
|---|
| 2706 | entityPath ""
|
|---|
| 2707 | )
|
|---|
| 2708 | defaultHdlText (HdlText
|
|---|
| 2709 | shape (Rectangle
|
|---|
| 2710 | va (VaSet
|
|---|
| 2711 | vasetType 1
|
|---|
| 2712 | fg "65535,65535,37120"
|
|---|
| 2713 | lineColor "0,0,32768"
|
|---|
| 2714 | lineWidth 2
|
|---|
| 2715 | )
|
|---|
| 2716 | xt "0,0,8000,10000"
|
|---|
| 2717 | )
|
|---|
| 2718 | ttg (MlTextGroup
|
|---|
| 2719 | ps "CenterOffsetStrategy"
|
|---|
| 2720 | stg "VerticalLayoutStrategy"
|
|---|
| 2721 | textVec [
|
|---|
| 2722 | *91 (Text
|
|---|
| 2723 | va (VaSet
|
|---|
| 2724 | font "Arial,8,1"
|
|---|
| 2725 | )
|
|---|
| 2726 | xt "3150,4000,4850,5000"
|
|---|
| 2727 | st "eb1"
|
|---|
| 2728 | blo "3150,4800"
|
|---|
| 2729 | tm "HdlTextNameMgr"
|
|---|
| 2730 | )
|
|---|
| 2731 | *92 (Text
|
|---|
| 2732 | va (VaSet
|
|---|
| 2733 | font "Arial,8,1"
|
|---|
| 2734 | )
|
|---|
| 2735 | xt "3150,5000,3950,6000"
|
|---|
| 2736 | st "1"
|
|---|
| 2737 | blo "3150,5800"
|
|---|
| 2738 | tm "HdlTextNumberMgr"
|
|---|
| 2739 | )
|
|---|
| 2740 | ]
|
|---|
| 2741 | )
|
|---|
| 2742 | viewicon (ZoomableIcon
|
|---|
| 2743 | sl 0
|
|---|
| 2744 | va (VaSet
|
|---|
| 2745 | vasetType 1
|
|---|
| 2746 | fg "49152,49152,49152"
|
|---|
| 2747 | )
|
|---|
| 2748 | xt "0,0,1500,1500"
|
|---|
| 2749 | iconName "UnknownFile.png"
|
|---|
| 2750 | iconMaskName "UnknownFile.msk"
|
|---|
| 2751 | )
|
|---|
| 2752 | viewiconposition 0
|
|---|
| 2753 | )
|
|---|
| 2754 | defaultEmbeddedText (EmbeddedText
|
|---|
| 2755 | commentText (CommentText
|
|---|
| 2756 | ps "CenterOffsetStrategy"
|
|---|
| 2757 | shape (Rectangle
|
|---|
| 2758 | va (VaSet
|
|---|
| 2759 | vasetType 1
|
|---|
| 2760 | fg "65535,65535,65535"
|
|---|
| 2761 | lineColor "0,0,32768"
|
|---|
| 2762 | lineWidth 2
|
|---|
| 2763 | )
|
|---|
| 2764 | xt "0,0,18000,5000"
|
|---|
| 2765 | )
|
|---|
| 2766 | text (MLText
|
|---|
| 2767 | va (VaSet
|
|---|
| 2768 | )
|
|---|
| 2769 | xt "200,200,2000,1200"
|
|---|
| 2770 | st "
|
|---|
| 2771 | Text
|
|---|
| 2772 | "
|
|---|
| 2773 | tm "HdlTextMgr"
|
|---|
| 2774 | wrapOption 3
|
|---|
| 2775 | visibleHeight 4600
|
|---|
| 2776 | visibleWidth 17600
|
|---|
| 2777 | )
|
|---|
| 2778 | )
|
|---|
| 2779 | )
|
|---|
| 2780 | defaultGlobalConnector (GlobalConnector
|
|---|
| 2781 | shape (Circle
|
|---|
| 2782 | va (VaSet
|
|---|
| 2783 | vasetType 1
|
|---|
| 2784 | fg "65535,65535,0"
|
|---|
| 2785 | )
|
|---|
| 2786 | xt "-1000,-1000,1000,1000"
|
|---|
| 2787 | radius 1000
|
|---|
| 2788 | )
|
|---|
| 2789 | name (Text
|
|---|
| 2790 | va (VaSet
|
|---|
| 2791 | font "Arial,8,1"
|
|---|
| 2792 | )
|
|---|
| 2793 | xt "-500,-500,500,500"
|
|---|
| 2794 | st "G"
|
|---|
| 2795 | blo "-500,300"
|
|---|
| 2796 | )
|
|---|
| 2797 | )
|
|---|
| 2798 | defaultRipper (Ripper
|
|---|
| 2799 | ps "OnConnectorStrategy"
|
|---|
| 2800 | shape (Line2D
|
|---|
| 2801 | pts [
|
|---|
| 2802 | "0,0"
|
|---|
| 2803 | "1000,1000"
|
|---|
| 2804 | ]
|
|---|
| 2805 | va (VaSet
|
|---|
| 2806 | vasetType 1
|
|---|
| 2807 | )
|
|---|
| 2808 | xt "0,0,1000,1000"
|
|---|
| 2809 | )
|
|---|
| 2810 | )
|
|---|
| 2811 | defaultBdJunction (BdJunction
|
|---|
| 2812 | ps "OnConnectorStrategy"
|
|---|
| 2813 | shape (Circle
|
|---|
| 2814 | va (VaSet
|
|---|
| 2815 | vasetType 1
|
|---|
| 2816 | )
|
|---|
| 2817 | xt "-400,-400,400,400"
|
|---|
| 2818 | radius 400
|
|---|
| 2819 | )
|
|---|
| 2820 | )
|
|---|
| 2821 | defaultPortIoIn (PortIoIn
|
|---|
| 2822 | shape (CompositeShape
|
|---|
| 2823 | va (VaSet
|
|---|
| 2824 | vasetType 1
|
|---|
| 2825 | fg "0,0,32768"
|
|---|
| 2826 | )
|
|---|
| 2827 | optionalChildren [
|
|---|
| 2828 | (Pentagon
|
|---|
| 2829 | sl 0
|
|---|
| 2830 | ro 270
|
|---|
| 2831 | xt "-2000,-375,-500,375"
|
|---|
| 2832 | )
|
|---|
| 2833 | (Line
|
|---|
| 2834 | sl 0
|
|---|
| 2835 | ro 270
|
|---|
| 2836 | xt "-500,0,0,0"
|
|---|
| 2837 | pts [
|
|---|
| 2838 | "-500,0"
|
|---|
| 2839 | "0,0"
|
|---|
| 2840 | ]
|
|---|
| 2841 | )
|
|---|
| 2842 | ]
|
|---|
| 2843 | )
|
|---|
| 2844 | stc 0
|
|---|
| 2845 | sf 1
|
|---|
| 2846 | tg (WTG
|
|---|
| 2847 | ps "PortIoTextPlaceStrategy"
|
|---|
| 2848 | stg "STSignalDisplayStrategy"
|
|---|
| 2849 | f (Text
|
|---|
| 2850 | va (VaSet
|
|---|
| 2851 | )
|
|---|
| 2852 | xt "-1375,-1000,-1375,-1000"
|
|---|
| 2853 | ju 2
|
|---|
| 2854 | blo "-1375,-1000"
|
|---|
| 2855 | tm "WireNameMgr"
|
|---|
| 2856 | )
|
|---|
| 2857 | )
|
|---|
| 2858 | )
|
|---|
| 2859 | defaultPortIoOut (PortIoOut
|
|---|
| 2860 | shape (CompositeShape
|
|---|
| 2861 | va (VaSet
|
|---|
| 2862 | vasetType 1
|
|---|
| 2863 | fg "0,0,32768"
|
|---|
| 2864 | )
|
|---|
| 2865 | optionalChildren [
|
|---|
| 2866 | (Pentagon
|
|---|
| 2867 | sl 0
|
|---|
| 2868 | ro 270
|
|---|
| 2869 | xt "500,-375,2000,375"
|
|---|
| 2870 | )
|
|---|
| 2871 | (Line
|
|---|
| 2872 | sl 0
|
|---|
| 2873 | ro 270
|
|---|
| 2874 | xt "0,0,500,0"
|
|---|
| 2875 | pts [
|
|---|
| 2876 | "0,0"
|
|---|
| 2877 | "500,0"
|
|---|
| 2878 | ]
|
|---|
| 2879 | )
|
|---|
| 2880 | ]
|
|---|
| 2881 | )
|
|---|
| 2882 | stc 0
|
|---|
| 2883 | sf 1
|
|---|
| 2884 | tg (WTG
|
|---|
| 2885 | ps "PortIoTextPlaceStrategy"
|
|---|
| 2886 | stg "STSignalDisplayStrategy"
|
|---|
| 2887 | f (Text
|
|---|
| 2888 | va (VaSet
|
|---|
| 2889 | )
|
|---|
| 2890 | xt "625,-1000,625,-1000"
|
|---|
| 2891 | blo "625,-1000"
|
|---|
| 2892 | tm "WireNameMgr"
|
|---|
| 2893 | )
|
|---|
| 2894 | )
|
|---|
| 2895 | )
|
|---|
| 2896 | defaultPortIoInOut (PortIoInOut
|
|---|
| 2897 | shape (CompositeShape
|
|---|
| 2898 | va (VaSet
|
|---|
| 2899 | vasetType 1
|
|---|
| 2900 | fg "0,0,32768"
|
|---|
| 2901 | )
|
|---|
| 2902 | optionalChildren [
|
|---|
| 2903 | (Hexagon
|
|---|
| 2904 | sl 0
|
|---|
| 2905 | xt "500,-375,2000,375"
|
|---|
| 2906 | )
|
|---|
| 2907 | (Line
|
|---|
| 2908 | sl 0
|
|---|
| 2909 | xt "0,0,500,0"
|
|---|
| 2910 | pts [
|
|---|
| 2911 | "0,0"
|
|---|
| 2912 | "500,0"
|
|---|
| 2913 | ]
|
|---|
| 2914 | )
|
|---|
| 2915 | ]
|
|---|
| 2916 | )
|
|---|
| 2917 | stc 0
|
|---|
| 2918 | sf 1
|
|---|
| 2919 | tg (WTG
|
|---|
| 2920 | ps "PortIoTextPlaceStrategy"
|
|---|
| 2921 | stg "STSignalDisplayStrategy"
|
|---|
| 2922 | f (Text
|
|---|
| 2923 | va (VaSet
|
|---|
| 2924 | )
|
|---|
| 2925 | xt "0,-375,0,-375"
|
|---|
| 2926 | blo "0,-375"
|
|---|
| 2927 | tm "WireNameMgr"
|
|---|
| 2928 | )
|
|---|
| 2929 | )
|
|---|
| 2930 | )
|
|---|
| 2931 | defaultPortIoBuffer (PortIoBuffer
|
|---|
| 2932 | shape (CompositeShape
|
|---|
| 2933 | va (VaSet
|
|---|
| 2934 | vasetType 1
|
|---|
| 2935 | fg "65535,65535,65535"
|
|---|
| 2936 | lineColor "0,0,32768"
|
|---|
| 2937 | )
|
|---|
| 2938 | optionalChildren [
|
|---|
| 2939 | (Hexagon
|
|---|
| 2940 | sl 0
|
|---|
| 2941 | xt "500,-375,2000,375"
|
|---|
| 2942 | )
|
|---|
| 2943 | (Line
|
|---|
| 2944 | sl 0
|
|---|
| 2945 | xt "0,0,500,0"
|
|---|
| 2946 | pts [
|
|---|
| 2947 | "0,0"
|
|---|
| 2948 | "500,0"
|
|---|
| 2949 | ]
|
|---|
| 2950 | )
|
|---|
| 2951 | ]
|
|---|
| 2952 | )
|
|---|
| 2953 | stc 0
|
|---|
| 2954 | sf 1
|
|---|
| 2955 | tg (WTG
|
|---|
| 2956 | ps "PortIoTextPlaceStrategy"
|
|---|
| 2957 | stg "STSignalDisplayStrategy"
|
|---|
| 2958 | f (Text
|
|---|
| 2959 | va (VaSet
|
|---|
| 2960 | )
|
|---|
| 2961 | xt "0,-375,0,-375"
|
|---|
| 2962 | blo "0,-375"
|
|---|
| 2963 | tm "WireNameMgr"
|
|---|
| 2964 | )
|
|---|
| 2965 | )
|
|---|
| 2966 | )
|
|---|
| 2967 | defaultSignal (Wire
|
|---|
| 2968 | shape (OrthoPolyLine
|
|---|
| 2969 | va (VaSet
|
|---|
| 2970 | vasetType 3
|
|---|
| 2971 | )
|
|---|
| 2972 | pts [
|
|---|
| 2973 | "0,0"
|
|---|
| 2974 | "0,0"
|
|---|
| 2975 | ]
|
|---|
| 2976 | )
|
|---|
| 2977 | ss 0
|
|---|
| 2978 | es 0
|
|---|
| 2979 | sat 32
|
|---|
| 2980 | eat 32
|
|---|
| 2981 | st 0
|
|---|
| 2982 | sf 1
|
|---|
| 2983 | si 0
|
|---|
| 2984 | tg (WTG
|
|---|
| 2985 | ps "ConnStartEndStrategy"
|
|---|
| 2986 | stg "STSignalDisplayStrategy"
|
|---|
| 2987 | f (Text
|
|---|
| 2988 | va (VaSet
|
|---|
| 2989 | )
|
|---|
| 2990 | xt "0,0,1900,1000"
|
|---|
| 2991 | st "sig0"
|
|---|
| 2992 | blo "0,800"
|
|---|
| 2993 | tm "WireNameMgr"
|
|---|
| 2994 | )
|
|---|
| 2995 | )
|
|---|
| 2996 | )
|
|---|
| 2997 | defaultBus (Wire
|
|---|
| 2998 | shape (OrthoPolyLine
|
|---|
| 2999 | va (VaSet
|
|---|
| 3000 | vasetType 3
|
|---|
| 3001 | lineWidth 2
|
|---|
| 3002 | )
|
|---|
| 3003 | pts [
|
|---|
| 3004 | "0,0"
|
|---|
| 3005 | "0,0"
|
|---|
| 3006 | ]
|
|---|
| 3007 | )
|
|---|
| 3008 | ss 0
|
|---|
| 3009 | es 0
|
|---|
| 3010 | sat 32
|
|---|
| 3011 | eat 32
|
|---|
| 3012 | sty 1
|
|---|
| 3013 | st 0
|
|---|
| 3014 | sf 1
|
|---|
| 3015 | si 0
|
|---|
| 3016 | tg (WTG
|
|---|
| 3017 | ps "ConnStartEndStrategy"
|
|---|
| 3018 | stg "STSignalDisplayStrategy"
|
|---|
| 3019 | f (Text
|
|---|
| 3020 | va (VaSet
|
|---|
| 3021 | )
|
|---|
| 3022 | xt "0,0,2400,1000"
|
|---|
| 3023 | st "dbus0"
|
|---|
| 3024 | blo "0,800"
|
|---|
| 3025 | tm "WireNameMgr"
|
|---|
| 3026 | )
|
|---|
| 3027 | )
|
|---|
| 3028 | )
|
|---|
| 3029 | defaultBundle (Bundle
|
|---|
| 3030 | shape (OrthoPolyLine
|
|---|
| 3031 | va (VaSet
|
|---|
| 3032 | vasetType 3
|
|---|
| 3033 | lineColor "32768,0,0"
|
|---|
| 3034 | lineWidth 2
|
|---|
| 3035 | )
|
|---|
| 3036 | pts [
|
|---|
| 3037 | "0,0"
|
|---|
| 3038 | "0,0"
|
|---|
| 3039 | ]
|
|---|
| 3040 | )
|
|---|
| 3041 | ss 0
|
|---|
| 3042 | es 0
|
|---|
| 3043 | sat 32
|
|---|
| 3044 | eat 32
|
|---|
| 3045 | textGroup (BiTextGroup
|
|---|
| 3046 | ps "ConnStartEndStrategy"
|
|---|
| 3047 | stg "VerticalLayoutStrategy"
|
|---|
| 3048 | first (Text
|
|---|
| 3049 | va (VaSet
|
|---|
| 3050 | )
|
|---|
| 3051 | xt "0,0,3000,1000"
|
|---|
| 3052 | st "bundle0"
|
|---|
| 3053 | blo "0,800"
|
|---|
| 3054 | tm "BundleNameMgr"
|
|---|
| 3055 | )
|
|---|
| 3056 | second (MLText
|
|---|
| 3057 | va (VaSet
|
|---|
| 3058 | )
|
|---|
| 3059 | xt "0,1000,1000,2000"
|
|---|
| 3060 | st "()"
|
|---|
| 3061 | tm "BundleContentsMgr"
|
|---|
| 3062 | )
|
|---|
| 3063 | )
|
|---|
| 3064 | bundleNet &0
|
|---|
| 3065 | )
|
|---|
| 3066 | defaultPortMapFrame (PortMapFrame
|
|---|
| 3067 | ps "PortMapFrameStrategy"
|
|---|
| 3068 | shape (RectFrame
|
|---|
| 3069 | va (VaSet
|
|---|
| 3070 | vasetType 1
|
|---|
| 3071 | fg "65535,65535,65535"
|
|---|
| 3072 | lineColor "0,0,32768"
|
|---|
| 3073 | lineWidth 2
|
|---|
| 3074 | )
|
|---|
| 3075 | xt "0,0,10000,12000"
|
|---|
| 3076 | )
|
|---|
| 3077 | portMapText (BiTextGroup
|
|---|
| 3078 | ps "BottomRightOffsetStrategy"
|
|---|
| 3079 | stg "VerticalLayoutStrategy"
|
|---|
| 3080 | first (MLText
|
|---|
| 3081 | va (VaSet
|
|---|
| 3082 | )
|
|---|
| 3083 | )
|
|---|
| 3084 | second (MLText
|
|---|
| 3085 | va (VaSet
|
|---|
| 3086 | )
|
|---|
| 3087 | tm "PortMapTextMgr"
|
|---|
| 3088 | )
|
|---|
| 3089 | )
|
|---|
| 3090 | )
|
|---|
| 3091 | defaultGenFrame (Frame
|
|---|
| 3092 | shape (RectFrame
|
|---|
| 3093 | va (VaSet
|
|---|
| 3094 | vasetType 1
|
|---|
| 3095 | fg "65535,65535,65535"
|
|---|
| 3096 | lineColor "26368,26368,26368"
|
|---|
| 3097 | lineStyle 2
|
|---|
| 3098 | lineWidth 3
|
|---|
| 3099 | )
|
|---|
| 3100 | xt "0,0,20000,20000"
|
|---|
| 3101 | )
|
|---|
| 3102 | title (TextAssociate
|
|---|
| 3103 | ps "TopLeftStrategy"
|
|---|
| 3104 | text (MLText
|
|---|
| 3105 | va (VaSet
|
|---|
| 3106 | )
|
|---|
| 3107 | xt "0,-1100,12600,-100"
|
|---|
| 3108 | st "g0: FOR i IN 0 TO n GENERATE"
|
|---|
| 3109 | tm "FrameTitleTextMgr"
|
|---|
| 3110 | )
|
|---|
| 3111 | )
|
|---|
| 3112 | seqNum (FrameSequenceNumber
|
|---|
| 3113 | ps "TopLeftStrategy"
|
|---|
| 3114 | shape (Rectangle
|
|---|
| 3115 | va (VaSet
|
|---|
| 3116 | vasetType 1
|
|---|
| 3117 | fg "65535,65535,65535"
|
|---|
| 3118 | )
|
|---|
| 3119 | xt "50,50,1250,1450"
|
|---|
| 3120 | )
|
|---|
| 3121 | num (Text
|
|---|
| 3122 | va (VaSet
|
|---|
| 3123 | )
|
|---|
| 3124 | xt "250,250,1050,1250"
|
|---|
| 3125 | st "1"
|
|---|
| 3126 | blo "250,1050"
|
|---|
| 3127 | tm "FrameSeqNumMgr"
|
|---|
| 3128 | )
|
|---|
| 3129 | )
|
|---|
| 3130 | decls (MlTextGroup
|
|---|
| 3131 | ps "BottomRightOffsetStrategy"
|
|---|
| 3132 | stg "VerticalLayoutStrategy"
|
|---|
| 3133 | textVec [
|
|---|
| 3134 | *93 (Text
|
|---|
| 3135 | va (VaSet
|
|---|
| 3136 | font "Arial,8,1"
|
|---|
| 3137 | )
|
|---|
| 3138 | xt "14100,20000,22000,21000"
|
|---|
| 3139 | st "Frame Declarations"
|
|---|
| 3140 | blo "14100,20800"
|
|---|
| 3141 | )
|
|---|
| 3142 | *94 (MLText
|
|---|
| 3143 | va (VaSet
|
|---|
| 3144 | )
|
|---|
| 3145 | xt "14100,21000,14100,21000"
|
|---|
| 3146 | tm "BdFrameDeclTextMgr"
|
|---|
| 3147 | )
|
|---|
| 3148 | ]
|
|---|
| 3149 | )
|
|---|
| 3150 | )
|
|---|
| 3151 | defaultBlockFrame (Frame
|
|---|
| 3152 | shape (RectFrame
|
|---|
| 3153 | va (VaSet
|
|---|
| 3154 | vasetType 1
|
|---|
| 3155 | fg "65535,65535,65535"
|
|---|
| 3156 | lineColor "26368,26368,26368"
|
|---|
| 3157 | lineStyle 1
|
|---|
| 3158 | lineWidth 3
|
|---|
| 3159 | )
|
|---|
| 3160 | xt "0,0,20000,20000"
|
|---|
| 3161 | )
|
|---|
| 3162 | title (TextAssociate
|
|---|
| 3163 | ps "TopLeftStrategy"
|
|---|
| 3164 | text (MLText
|
|---|
| 3165 | va (VaSet
|
|---|
| 3166 | )
|
|---|
| 3167 | xt "0,-1100,7400,-100"
|
|---|
| 3168 | st "b0: BLOCK (guard)"
|
|---|
| 3169 | tm "FrameTitleTextMgr"
|
|---|
| 3170 | )
|
|---|
| 3171 | )
|
|---|
| 3172 | seqNum (FrameSequenceNumber
|
|---|
| 3173 | ps "TopLeftStrategy"
|
|---|
| 3174 | shape (Rectangle
|
|---|
| 3175 | va (VaSet
|
|---|
| 3176 | vasetType 1
|
|---|
| 3177 | fg "65535,65535,65535"
|
|---|
| 3178 | )
|
|---|
| 3179 | xt "50,50,1250,1450"
|
|---|
| 3180 | )
|
|---|
| 3181 | num (Text
|
|---|
| 3182 | va (VaSet
|
|---|
| 3183 | )
|
|---|
| 3184 | xt "250,250,1050,1250"
|
|---|
| 3185 | st "1"
|
|---|
| 3186 | blo "250,1050"
|
|---|
| 3187 | tm "FrameSeqNumMgr"
|
|---|
| 3188 | )
|
|---|
| 3189 | )
|
|---|
| 3190 | decls (MlTextGroup
|
|---|
| 3191 | ps "BottomRightOffsetStrategy"
|
|---|
| 3192 | stg "VerticalLayoutStrategy"
|
|---|
| 3193 | textVec [
|
|---|
| 3194 | *95 (Text
|
|---|
| 3195 | va (VaSet
|
|---|
| 3196 | font "Arial,8,1"
|
|---|
| 3197 | )
|
|---|
| 3198 | xt "14100,20000,22000,21000"
|
|---|
| 3199 | st "Frame Declarations"
|
|---|
| 3200 | blo "14100,20800"
|
|---|
| 3201 | )
|
|---|
| 3202 | *96 (MLText
|
|---|
| 3203 | va (VaSet
|
|---|
| 3204 | )
|
|---|
| 3205 | xt "14100,21000,14100,21000"
|
|---|
| 3206 | tm "BdFrameDeclTextMgr"
|
|---|
| 3207 | )
|
|---|
| 3208 | ]
|
|---|
| 3209 | )
|
|---|
| 3210 | style 3
|
|---|
| 3211 | )
|
|---|
| 3212 | defaultSaCptPort (CptPort
|
|---|
| 3213 | ps "OnEdgeStrategy"
|
|---|
| 3214 | shape (Triangle
|
|---|
| 3215 | ro 90
|
|---|
| 3216 | va (VaSet
|
|---|
| 3217 | vasetType 1
|
|---|
| 3218 | fg "0,65535,0"
|
|---|
| 3219 | )
|
|---|
| 3220 | xt "0,0,750,750"
|
|---|
| 3221 | )
|
|---|
| 3222 | tg (CPTG
|
|---|
| 3223 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3224 | stg "VerticalLayoutStrategy"
|
|---|
| 3225 | f (Text
|
|---|
| 3226 | va (VaSet
|
|---|
| 3227 | )
|
|---|
| 3228 | xt "0,750,1800,1750"
|
|---|
| 3229 | st "Port"
|
|---|
| 3230 | blo "0,1550"
|
|---|
| 3231 | )
|
|---|
| 3232 | )
|
|---|
| 3233 | thePort (LogicalPort
|
|---|
| 3234 | decl (Decl
|
|---|
| 3235 | n "Port"
|
|---|
| 3236 | t ""
|
|---|
| 3237 | o 0
|
|---|
| 3238 | )
|
|---|
| 3239 | )
|
|---|
| 3240 | )
|
|---|
| 3241 | defaultSaCptPortBuffer (CptPort
|
|---|
| 3242 | ps "OnEdgeStrategy"
|
|---|
| 3243 | shape (Diamond
|
|---|
| 3244 | va (VaSet
|
|---|
| 3245 | vasetType 1
|
|---|
| 3246 | fg "65535,65535,65535"
|
|---|
| 3247 | )
|
|---|
| 3248 | xt "0,0,750,750"
|
|---|
| 3249 | )
|
|---|
| 3250 | tg (CPTG
|
|---|
| 3251 | ps "CptPortTextPlaceStrategy"
|
|---|
| 3252 | stg "VerticalLayoutStrategy"
|
|---|
| 3253 | f (Text
|
|---|
| 3254 | va (VaSet
|
|---|
| 3255 | )
|
|---|
| 3256 | xt "0,750,1800,1750"
|
|---|
| 3257 | st "Port"
|
|---|
| 3258 | blo "0,1550"
|
|---|
| 3259 | )
|
|---|
| 3260 | )
|
|---|
| 3261 | thePort (LogicalPort
|
|---|
| 3262 | m 3
|
|---|
| 3263 | decl (Decl
|
|---|
| 3264 | n "Port"
|
|---|
| 3265 | t ""
|
|---|
| 3266 | o 0
|
|---|
| 3267 | )
|
|---|
| 3268 | )
|
|---|
| 3269 | )
|
|---|
| 3270 | defaultDeclText (MLText
|
|---|
| 3271 | va (VaSet
|
|---|
| 3272 | font "Courier New,8,0"
|
|---|
| 3273 | )
|
|---|
| 3274 | )
|
|---|
| 3275 | archDeclarativeBlock (BdArchDeclBlock
|
|---|
| 3276 | uid 1,0
|
|---|
| 3277 | stg "BdArchDeclBlockLS"
|
|---|
| 3278 | declLabel (Text
|
|---|
| 3279 | uid 2,0
|
|---|
| 3280 | va (VaSet
|
|---|
| 3281 | font "Arial,8,1"
|
|---|
| 3282 | )
|
|---|
| 3283 | xt "20000,0,25400,1000"
|
|---|
| 3284 | st "Declarations"
|
|---|
| 3285 | blo "20000,800"
|
|---|
| 3286 | )
|
|---|
| 3287 | portLabel (Text
|
|---|
| 3288 | uid 3,0
|
|---|
| 3289 | va (VaSet
|
|---|
| 3290 | font "Arial,8,1"
|
|---|
| 3291 | )
|
|---|
| 3292 | xt "20000,1000,22700,2000"
|
|---|
| 3293 | st "Ports:"
|
|---|
| 3294 | blo "20000,1800"
|
|---|
| 3295 | )
|
|---|
| 3296 | preUserLabel (Text
|
|---|
| 3297 | uid 4,0
|
|---|
| 3298 | va (VaSet
|
|---|
| 3299 | isHidden 1
|
|---|
| 3300 | font "Arial,8,1"
|
|---|
| 3301 | )
|
|---|
| 3302 | xt "20000,0,23800,1000"
|
|---|
| 3303 | st "Pre User:"
|
|---|
| 3304 | blo "20000,800"
|
|---|
| 3305 | )
|
|---|
| 3306 | preUserText (MLText
|
|---|
| 3307 | uid 5,0
|
|---|
| 3308 | va (VaSet
|
|---|
| 3309 | isHidden 1
|
|---|
| 3310 | font "Courier New,8,0"
|
|---|
| 3311 | )
|
|---|
| 3312 | xt "20000,0,20000,0"
|
|---|
| 3313 | tm "BdDeclarativeTextMgr"
|
|---|
| 3314 | )
|
|---|
| 3315 | diagSignalLabel (Text
|
|---|
| 3316 | uid 6,0
|
|---|
| 3317 | va (VaSet
|
|---|
| 3318 | font "Arial,8,1"
|
|---|
| 3319 | )
|
|---|
| 3320 | xt "20000,2000,27100,3000"
|
|---|
| 3321 | st "Diagram Signals:"
|
|---|
| 3322 | blo "20000,2800"
|
|---|
| 3323 | )
|
|---|
| 3324 | postUserLabel (Text
|
|---|
| 3325 | uid 7,0
|
|---|
| 3326 | va (VaSet
|
|---|
| 3327 | isHidden 1
|
|---|
| 3328 | font "Arial,8,1"
|
|---|
| 3329 | )
|
|---|
| 3330 | xt "20000,0,24700,1000"
|
|---|
| 3331 | st "Post User:"
|
|---|
| 3332 | blo "20000,800"
|
|---|
| 3333 | )
|
|---|
| 3334 | postUserText (MLText
|
|---|
| 3335 | uid 8,0
|
|---|
| 3336 | va (VaSet
|
|---|
| 3337 | isHidden 1
|
|---|
| 3338 | font "Courier New,8,0"
|
|---|
| 3339 | )
|
|---|
| 3340 | xt "20000,0,20000,0"
|
|---|
| 3341 | tm "BdDeclarativeTextMgr"
|
|---|
| 3342 | )
|
|---|
| 3343 | )
|
|---|
| 3344 | commonDM (CommonDM
|
|---|
| 3345 | ldm (LogicalDM
|
|---|
| 3346 | suid 10,0
|
|---|
| 3347 | usingSuid 1
|
|---|
| 3348 | emptyRow *97 (LEmptyRow
|
|---|
| 3349 | )
|
|---|
| 3350 | uid 298,0
|
|---|
| 3351 | optionalChildren [
|
|---|
| 3352 | *98 (RefLabelRowHdr
|
|---|
| 3353 | )
|
|---|
| 3354 | *99 (TitleRowHdr
|
|---|
| 3355 | )
|
|---|
| 3356 | *100 (FilterRowHdr
|
|---|
| 3357 | )
|
|---|
| 3358 | *101 (RefLabelColHdr
|
|---|
| 3359 | tm "RefLabelColHdrMgr"
|
|---|
| 3360 | )
|
|---|
| 3361 | *102 (RowExpandColHdr
|
|---|
| 3362 | tm "RowExpandColHdrMgr"
|
|---|
| 3363 | )
|
|---|
| 3364 | *103 (GroupColHdr
|
|---|
| 3365 | tm "GroupColHdrMgr"
|
|---|
| 3366 | )
|
|---|
| 3367 | *104 (NameColHdr
|
|---|
| 3368 | tm "BlockDiagramNameColHdrMgr"
|
|---|
| 3369 | )
|
|---|
| 3370 | *105 (ModeColHdr
|
|---|
| 3371 | tm "BlockDiagramModeColHdrMgr"
|
|---|
| 3372 | )
|
|---|
| 3373 | *106 (TypeColHdr
|
|---|
| 3374 | tm "BlockDiagramTypeColHdrMgr"
|
|---|
| 3375 | )
|
|---|
| 3376 | *107 (BoundsColHdr
|
|---|
| 3377 | tm "BlockDiagramBoundsColHdrMgr"
|
|---|
| 3378 | )
|
|---|
| 3379 | *108 (InitColHdr
|
|---|
| 3380 | tm "BlockDiagramInitColHdrMgr"
|
|---|
| 3381 | )
|
|---|
| 3382 | *109 (EolColHdr
|
|---|
| 3383 | tm "BlockDiagramEolColHdrMgr"
|
|---|
| 3384 | )
|
|---|
| 3385 | *110 (LeafLogPort
|
|---|
| 3386 | port (LogicalPort
|
|---|
| 3387 | m 4
|
|---|
| 3388 | decl (Decl
|
|---|
| 3389 | n "green"
|
|---|
| 3390 | t "std_logic"
|
|---|
| 3391 | o 1
|
|---|
| 3392 | suid 1,0
|
|---|
| 3393 | )
|
|---|
| 3394 | )
|
|---|
| 3395 | uid 265,0
|
|---|
| 3396 | )
|
|---|
| 3397 | *111 (LeafLogPort
|
|---|
| 3398 | port (LogicalPort
|
|---|
| 3399 | m 4
|
|---|
| 3400 | decl (Decl
|
|---|
| 3401 | n "amber"
|
|---|
| 3402 | t "std_logic"
|
|---|
| 3403 | o 2
|
|---|
| 3404 | suid 2,0
|
|---|
| 3405 | )
|
|---|
| 3406 | )
|
|---|
| 3407 | uid 267,0
|
|---|
| 3408 | )
|
|---|
| 3409 | *112 (LeafLogPort
|
|---|
| 3410 | port (LogicalPort
|
|---|
| 3411 | m 4
|
|---|
| 3412 | decl (Decl
|
|---|
| 3413 | n "red"
|
|---|
| 3414 | t "std_logic"
|
|---|
| 3415 | o 3
|
|---|
| 3416 | suid 3,0
|
|---|
| 3417 | )
|
|---|
| 3418 | )
|
|---|
| 3419 | uid 269,0
|
|---|
| 3420 | )
|
|---|
| 3421 | *113 (LeafLogPort
|
|---|
| 3422 | port (LogicalPort
|
|---|
| 3423 | m 4
|
|---|
| 3424 | decl (Decl
|
|---|
| 3425 | n "additional_flasher_out"
|
|---|
| 3426 | t "std_logic"
|
|---|
| 3427 | o 4
|
|---|
| 3428 | suid 4,0
|
|---|
| 3429 | )
|
|---|
| 3430 | )
|
|---|
| 3431 | uid 271,0
|
|---|
| 3432 | )
|
|---|
| 3433 | *114 (LeafLogPort
|
|---|
| 3434 | port (LogicalPort
|
|---|
| 3435 | m 4
|
|---|
| 3436 | decl (Decl
|
|---|
| 3437 | n "CLK"
|
|---|
| 3438 | t "std_logic"
|
|---|
| 3439 | o 5
|
|---|
| 3440 | suid 5,0
|
|---|
| 3441 | )
|
|---|
| 3442 | )
|
|---|
| 3443 | uid 273,0
|
|---|
| 3444 | )
|
|---|
| 3445 | *115 (LeafLogPort
|
|---|
| 3446 | port (LogicalPort
|
|---|
| 3447 | m 4
|
|---|
| 3448 | decl (Decl
|
|---|
| 3449 | n "trigger"
|
|---|
| 3450 | t "std_logic"
|
|---|
| 3451 | o 6
|
|---|
| 3452 | suid 6,0
|
|---|
| 3453 | )
|
|---|
| 3454 | )
|
|---|
| 3455 | uid 275,0
|
|---|
| 3456 | )
|
|---|
| 3457 | *116 (LeafLogPort
|
|---|
| 3458 | port (LogicalPort
|
|---|
| 3459 | m 4
|
|---|
| 3460 | decl (Decl
|
|---|
| 3461 | n "refclk_too_high"
|
|---|
| 3462 | t "std_logic"
|
|---|
| 3463 | o 7
|
|---|
| 3464 | suid 7,0
|
|---|
| 3465 | )
|
|---|
| 3466 | )
|
|---|
| 3467 | uid 277,0
|
|---|
| 3468 | )
|
|---|
| 3469 | *117 (LeafLogPort
|
|---|
| 3470 | port (LogicalPort
|
|---|
| 3471 | m 4
|
|---|
| 3472 | decl (Decl
|
|---|
| 3473 | n "refclk_too_low"
|
|---|
| 3474 | t "std_logic"
|
|---|
| 3475 | o 8
|
|---|
| 3476 | suid 8,0
|
|---|
| 3477 | )
|
|---|
| 3478 | )
|
|---|
| 3479 | uid 279,0
|
|---|
| 3480 | )
|
|---|
| 3481 | *118 (LeafLogPort
|
|---|
| 3482 | port (LogicalPort
|
|---|
| 3483 | m 4
|
|---|
| 3484 | decl (Decl
|
|---|
| 3485 | n "socks_waiting"
|
|---|
| 3486 | t "std_logic"
|
|---|
| 3487 | o 9
|
|---|
| 3488 | suid 9,0
|
|---|
| 3489 | )
|
|---|
| 3490 | )
|
|---|
| 3491 | uid 281,0
|
|---|
| 3492 | )
|
|---|
| 3493 | *119 (LeafLogPort
|
|---|
| 3494 | port (LogicalPort
|
|---|
| 3495 | m 4
|
|---|
| 3496 | decl (Decl
|
|---|
| 3497 | n "socks_connected"
|
|---|
| 3498 | t "std_logic"
|
|---|
| 3499 | o 10
|
|---|
| 3500 | suid 10,0
|
|---|
| 3501 | )
|
|---|
| 3502 | )
|
|---|
| 3503 | uid 283,0
|
|---|
| 3504 | )
|
|---|
| 3505 | ]
|
|---|
| 3506 | )
|
|---|
| 3507 | pdm (PhysicalDM
|
|---|
| 3508 | displayShortBounds 1
|
|---|
| 3509 | editShortBounds 1
|
|---|
| 3510 | uid 311,0
|
|---|
| 3511 | optionalChildren [
|
|---|
| 3512 | *120 (Sheet
|
|---|
| 3513 | sheetRow (SheetRow
|
|---|
| 3514 | headerVa (MVa
|
|---|
| 3515 | cellColor "49152,49152,49152"
|
|---|
| 3516 | fontColor "0,0,0"
|
|---|
| 3517 | font "Tahoma,10,0"
|
|---|
| 3518 | )
|
|---|
| 3519 | cellVa (MVa
|
|---|
| 3520 | cellColor "65535,65535,65535"
|
|---|
| 3521 | fontColor "0,0,0"
|
|---|
| 3522 | font "Tahoma,10,0"
|
|---|
| 3523 | )
|
|---|
| 3524 | groupVa (MVa
|
|---|
| 3525 | cellColor "39936,56832,65280"
|
|---|
| 3526 | fontColor "0,0,0"
|
|---|
| 3527 | font "Tahoma,10,0"
|
|---|
| 3528 | )
|
|---|
| 3529 | emptyMRCItem *121 (MRCItem
|
|---|
| 3530 | litem &97
|
|---|
| 3531 | pos 10
|
|---|
| 3532 | dimension 20
|
|---|
| 3533 | )
|
|---|
| 3534 | uid 313,0
|
|---|
| 3535 | optionalChildren [
|
|---|
| 3536 | *122 (MRCItem
|
|---|
| 3537 | litem &98
|
|---|
| 3538 | pos 0
|
|---|
| 3539 | dimension 20
|
|---|
| 3540 | uid 314,0
|
|---|
| 3541 | )
|
|---|
| 3542 | *123 (MRCItem
|
|---|
| 3543 | litem &99
|
|---|
| 3544 | pos 1
|
|---|
| 3545 | dimension 23
|
|---|
| 3546 | uid 315,0
|
|---|
| 3547 | )
|
|---|
| 3548 | *124 (MRCItem
|
|---|
| 3549 | litem &100
|
|---|
| 3550 | pos 2
|
|---|
| 3551 | hidden 1
|
|---|
| 3552 | dimension 20
|
|---|
| 3553 | uid 316,0
|
|---|
| 3554 | )
|
|---|
| 3555 | *125 (MRCItem
|
|---|
| 3556 | litem &110
|
|---|
| 3557 | pos 0
|
|---|
| 3558 | dimension 20
|
|---|
| 3559 | uid 266,0
|
|---|
| 3560 | )
|
|---|
| 3561 | *126 (MRCItem
|
|---|
| 3562 | litem &111
|
|---|
| 3563 | pos 1
|
|---|
| 3564 | dimension 20
|
|---|
| 3565 | uid 268,0
|
|---|
| 3566 | )
|
|---|
| 3567 | *127 (MRCItem
|
|---|
| 3568 | litem &112
|
|---|
| 3569 | pos 2
|
|---|
| 3570 | dimension 20
|
|---|
| 3571 | uid 270,0
|
|---|
| 3572 | )
|
|---|
| 3573 | *128 (MRCItem
|
|---|
| 3574 | litem &113
|
|---|
| 3575 | pos 3
|
|---|
| 3576 | dimension 20
|
|---|
| 3577 | uid 272,0
|
|---|
| 3578 | )
|
|---|
| 3579 | *129 (MRCItem
|
|---|
| 3580 | litem &114
|
|---|
| 3581 | pos 4
|
|---|
| 3582 | dimension 20
|
|---|
| 3583 | uid 274,0
|
|---|
| 3584 | )
|
|---|
| 3585 | *130 (MRCItem
|
|---|
| 3586 | litem &115
|
|---|
| 3587 | pos 5
|
|---|
| 3588 | dimension 20
|
|---|
| 3589 | uid 276,0
|
|---|
| 3590 | )
|
|---|
| 3591 | *131 (MRCItem
|
|---|
| 3592 | litem &116
|
|---|
| 3593 | pos 6
|
|---|
| 3594 | dimension 20
|
|---|
| 3595 | uid 278,0
|
|---|
| 3596 | )
|
|---|
| 3597 | *132 (MRCItem
|
|---|
| 3598 | litem &117
|
|---|
| 3599 | pos 7
|
|---|
| 3600 | dimension 20
|
|---|
| 3601 | uid 280,0
|
|---|
| 3602 | )
|
|---|
| 3603 | *133 (MRCItem
|
|---|
| 3604 | litem &118
|
|---|
| 3605 | pos 8
|
|---|
| 3606 | dimension 20
|
|---|
| 3607 | uid 282,0
|
|---|
| 3608 | )
|
|---|
| 3609 | *134 (MRCItem
|
|---|
| 3610 | litem &119
|
|---|
| 3611 | pos 9
|
|---|
| 3612 | dimension 20
|
|---|
| 3613 | uid 284,0
|
|---|
| 3614 | )
|
|---|
| 3615 | ]
|
|---|
| 3616 | )
|
|---|
| 3617 | sheetCol (SheetCol
|
|---|
| 3618 | propVa (MVa
|
|---|
| 3619 | cellColor "0,49152,49152"
|
|---|
| 3620 | fontColor "0,0,0"
|
|---|
| 3621 | font "Tahoma,10,0"
|
|---|
| 3622 | textAngle 90
|
|---|
| 3623 | )
|
|---|
| 3624 | uid 317,0
|
|---|
| 3625 | optionalChildren [
|
|---|
| 3626 | *135 (MRCItem
|
|---|
| 3627 | litem &101
|
|---|
| 3628 | pos 0
|
|---|
| 3629 | dimension 20
|
|---|
| 3630 | uid 318,0
|
|---|
| 3631 | )
|
|---|
| 3632 | *136 (MRCItem
|
|---|
| 3633 | litem &103
|
|---|
| 3634 | pos 1
|
|---|
| 3635 | dimension 50
|
|---|
| 3636 | uid 319,0
|
|---|
| 3637 | )
|
|---|
| 3638 | *137 (MRCItem
|
|---|
| 3639 | litem &104
|
|---|
| 3640 | pos 2
|
|---|
| 3641 | dimension 100
|
|---|
| 3642 | uid 320,0
|
|---|
| 3643 | )
|
|---|
| 3644 | *138 (MRCItem
|
|---|
| 3645 | litem &105
|
|---|
| 3646 | pos 3
|
|---|
| 3647 | dimension 50
|
|---|
| 3648 | uid 321,0
|
|---|
| 3649 | )
|
|---|
| 3650 | *139 (MRCItem
|
|---|
| 3651 | litem &106
|
|---|
| 3652 | pos 4
|
|---|
| 3653 | dimension 100
|
|---|
| 3654 | uid 322,0
|
|---|
| 3655 | )
|
|---|
| 3656 | *140 (MRCItem
|
|---|
| 3657 | litem &107
|
|---|
| 3658 | pos 5
|
|---|
| 3659 | dimension 100
|
|---|
| 3660 | uid 323,0
|
|---|
| 3661 | )
|
|---|
| 3662 | *141 (MRCItem
|
|---|
| 3663 | litem &108
|
|---|
| 3664 | pos 6
|
|---|
| 3665 | dimension 50
|
|---|
| 3666 | uid 324,0
|
|---|
| 3667 | )
|
|---|
| 3668 | *142 (MRCItem
|
|---|
| 3669 | litem &109
|
|---|
| 3670 | pos 7
|
|---|
| 3671 | dimension 80
|
|---|
| 3672 | uid 325,0
|
|---|
| 3673 | )
|
|---|
| 3674 | ]
|
|---|
| 3675 | )
|
|---|
| 3676 | fixedCol 4
|
|---|
| 3677 | fixedRow 2
|
|---|
| 3678 | name "Ports"
|
|---|
| 3679 | uid 312,0
|
|---|
| 3680 | vaOverrides [
|
|---|
| 3681 | ]
|
|---|
| 3682 | )
|
|---|
| 3683 | ]
|
|---|
| 3684 | )
|
|---|
| 3685 | uid 297,0
|
|---|
| 3686 | )
|
|---|
| 3687 | genericsCommonDM (CommonDM
|
|---|
| 3688 | ldm (LogicalDM
|
|---|
| 3689 | emptyRow *143 (LEmptyRow
|
|---|
| 3690 | )
|
|---|
| 3691 | uid 327,0
|
|---|
| 3692 | optionalChildren [
|
|---|
| 3693 | *144 (RefLabelRowHdr
|
|---|
| 3694 | )
|
|---|
| 3695 | *145 (TitleRowHdr
|
|---|
| 3696 | )
|
|---|
| 3697 | *146 (FilterRowHdr
|
|---|
| 3698 | )
|
|---|
| 3699 | *147 (RefLabelColHdr
|
|---|
| 3700 | tm "RefLabelColHdrMgr"
|
|---|
| 3701 | )
|
|---|
| 3702 | *148 (RowExpandColHdr
|
|---|
| 3703 | tm "RowExpandColHdrMgr"
|
|---|
| 3704 | )
|
|---|
| 3705 | *149 (GroupColHdr
|
|---|
| 3706 | tm "GroupColHdrMgr"
|
|---|
| 3707 | )
|
|---|
| 3708 | *150 (NameColHdr
|
|---|
| 3709 | tm "GenericNameColHdrMgr"
|
|---|
| 3710 | )
|
|---|
| 3711 | *151 (TypeColHdr
|
|---|
| 3712 | tm "GenericTypeColHdrMgr"
|
|---|
| 3713 | )
|
|---|
| 3714 | *152 (InitColHdr
|
|---|
| 3715 | tm "GenericValueColHdrMgr"
|
|---|
| 3716 | )
|
|---|
| 3717 | *153 (PragmaColHdr
|
|---|
| 3718 | tm "GenericPragmaColHdrMgr"
|
|---|
| 3719 | )
|
|---|
| 3720 | *154 (EolColHdr
|
|---|
| 3721 | tm "GenericEolColHdrMgr"
|
|---|
| 3722 | )
|
|---|
| 3723 | *155 (LogGeneric
|
|---|
| 3724 | generic (GiElement
|
|---|
| 3725 | name "HEARTBEAT_PWM_DIVIDER"
|
|---|
| 3726 | type "integer"
|
|---|
| 3727 | value "500"
|
|---|
| 3728 | )
|
|---|
| 3729 | uid 9,0
|
|---|
| 3730 | )
|
|---|
| 3731 | *156 (LogGeneric
|
|---|
| 3732 | generic (GiElement
|
|---|
| 3733 | name "WAITING_DIVIDER"
|
|---|
| 3734 | type "integer"
|
|---|
| 3735 | value "500000000"
|
|---|
| 3736 | )
|
|---|
| 3737 | uid 11,0
|
|---|
| 3738 | )
|
|---|
| 3739 | ]
|
|---|
| 3740 | )
|
|---|
| 3741 | pdm (PhysicalDM
|
|---|
| 3742 | displayShortBounds 1
|
|---|
| 3743 | editShortBounds 1
|
|---|
| 3744 | uid 339,0
|
|---|
| 3745 | optionalChildren [
|
|---|
| 3746 | *157 (Sheet
|
|---|
| 3747 | sheetRow (SheetRow
|
|---|
| 3748 | headerVa (MVa
|
|---|
| 3749 | cellColor "49152,49152,49152"
|
|---|
| 3750 | fontColor "0,0,0"
|
|---|
| 3751 | font "Tahoma,10,0"
|
|---|
| 3752 | )
|
|---|
| 3753 | cellVa (MVa
|
|---|
| 3754 | cellColor "65535,65535,65535"
|
|---|
| 3755 | fontColor "0,0,0"
|
|---|
| 3756 | font "Tahoma,10,0"
|
|---|
| 3757 | )
|
|---|
| 3758 | groupVa (MVa
|
|---|
| 3759 | cellColor "39936,56832,65280"
|
|---|
| 3760 | fontColor "0,0,0"
|
|---|
| 3761 | font "Tahoma,10,0"
|
|---|
| 3762 | )
|
|---|
| 3763 | emptyMRCItem *158 (MRCItem
|
|---|
| 3764 | litem &143
|
|---|
| 3765 | pos 2
|
|---|
| 3766 | dimension 20
|
|---|
| 3767 | )
|
|---|
| 3768 | uid 341,0
|
|---|
| 3769 | optionalChildren [
|
|---|
| 3770 | *159 (MRCItem
|
|---|
| 3771 | litem &144
|
|---|
| 3772 | pos 0
|
|---|
| 3773 | dimension 20
|
|---|
| 3774 | uid 342,0
|
|---|
| 3775 | )
|
|---|
| 3776 | *160 (MRCItem
|
|---|
| 3777 | litem &145
|
|---|
| 3778 | pos 1
|
|---|
| 3779 | dimension 23
|
|---|
| 3780 | uid 343,0
|
|---|
| 3781 | )
|
|---|
| 3782 | *161 (MRCItem
|
|---|
| 3783 | litem &146
|
|---|
| 3784 | pos 2
|
|---|
| 3785 | hidden 1
|
|---|
| 3786 | dimension 20
|
|---|
| 3787 | uid 344,0
|
|---|
| 3788 | )
|
|---|
| 3789 | *162 (MRCItem
|
|---|
| 3790 | litem &155
|
|---|
| 3791 | pos 0
|
|---|
| 3792 | dimension 20
|
|---|
| 3793 | uid 10,0
|
|---|
| 3794 | )
|
|---|
| 3795 | *163 (MRCItem
|
|---|
| 3796 | litem &156
|
|---|
| 3797 | pos 1
|
|---|
| 3798 | dimension 20
|
|---|
| 3799 | uid 12,0
|
|---|
| 3800 | )
|
|---|
| 3801 | ]
|
|---|
| 3802 | )
|
|---|
| 3803 | sheetCol (SheetCol
|
|---|
| 3804 | propVa (MVa
|
|---|
| 3805 | cellColor "0,49152,49152"
|
|---|
| 3806 | fontColor "0,0,0"
|
|---|
| 3807 | font "Tahoma,10,0"
|
|---|
| 3808 | textAngle 90
|
|---|
| 3809 | )
|
|---|
| 3810 | uid 345,0
|
|---|
| 3811 | optionalChildren [
|
|---|
| 3812 | *164 (MRCItem
|
|---|
| 3813 | litem &147
|
|---|
| 3814 | pos 0
|
|---|
| 3815 | dimension 20
|
|---|
| 3816 | uid 346,0
|
|---|
| 3817 | )
|
|---|
| 3818 | *165 (MRCItem
|
|---|
| 3819 | litem &149
|
|---|
| 3820 | pos 1
|
|---|
| 3821 | dimension 50
|
|---|
| 3822 | uid 347,0
|
|---|
| 3823 | )
|
|---|
| 3824 | *166 (MRCItem
|
|---|
| 3825 | litem &150
|
|---|
| 3826 | pos 2
|
|---|
| 3827 | dimension 100
|
|---|
| 3828 | uid 348,0
|
|---|
| 3829 | )
|
|---|
| 3830 | *167 (MRCItem
|
|---|
| 3831 | litem &151
|
|---|
| 3832 | pos 3
|
|---|
| 3833 | dimension 100
|
|---|
| 3834 | uid 349,0
|
|---|
| 3835 | )
|
|---|
| 3836 | *168 (MRCItem
|
|---|
| 3837 | litem &152
|
|---|
| 3838 | pos 4
|
|---|
| 3839 | dimension 50
|
|---|
| 3840 | uid 350,0
|
|---|
| 3841 | )
|
|---|
| 3842 | *169 (MRCItem
|
|---|
| 3843 | litem &153
|
|---|
| 3844 | pos 5
|
|---|
| 3845 | dimension 50
|
|---|
| 3846 | uid 351,0
|
|---|
| 3847 | )
|
|---|
| 3848 | *170 (MRCItem
|
|---|
| 3849 | litem &154
|
|---|
| 3850 | pos 6
|
|---|
| 3851 | dimension 80
|
|---|
| 3852 | uid 352,0
|
|---|
| 3853 | )
|
|---|
| 3854 | ]
|
|---|
| 3855 | )
|
|---|
| 3856 | fixedCol 3
|
|---|
| 3857 | fixedRow 2
|
|---|
| 3858 | name "Ports"
|
|---|
| 3859 | uid 340,0
|
|---|
| 3860 | vaOverrides [
|
|---|
| 3861 | ]
|
|---|
| 3862 | )
|
|---|
| 3863 | ]
|
|---|
| 3864 | )
|
|---|
| 3865 | uid 326,0
|
|---|
| 3866 | type 1
|
|---|
| 3867 | )
|
|---|
| 3868 | activeModelName "BlockDiag"
|
|---|
| 3869 | )
|
|---|