source: firmware/FAD/FACT_FAD_TB_lib/hds/max6662_emulator/symbol.sb

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 19.6 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13(DmPackageRef
14library "ieee"
15unitName "std_logic_unsigned"
16)
17(DmPackageRef
18library "FACT_FAD_lib"
19unitName "fad_definitions"
20)
21]
22libraryRefs [
23"ieee"
24"FACT_FAD_lib"
25]
26)
27version "24.1"
28appVersion "2009.2 (Build 10)"
29model (Symbol
30commonDM (CommonDM
31ldm (LogicalDM
32ordering 1
33suid 3,0
34usingSuid 1
35emptyRow *1 (LEmptyRow
36)
37optionalChildren [
38*2 (RefLabelRowHdr
39)
40*3 (TitleRowHdr
41)
42*4 (FilterRowHdr
43)
44*5 (RefLabelColHdr
45tm "RefLabelColHdrMgr"
46)
47*6 (RowExpandColHdr
48tm "RowExpandColHdrMgr"
49)
50*7 (GroupColHdr
51tm "GroupColHdrMgr"
52)
53*8 (NameColHdr
54tm "NameColHdrMgr"
55)
56*9 (ModeColHdr
57tm "ModeColHdrMgr"
58)
59*10 (TypeColHdr
60tm "TypeColHdrMgr"
61)
62*11 (BoundsColHdr
63tm "BoundsColHdrMgr"
64)
65*12 (InitColHdr
66tm "InitColHdrMgr"
67)
68*13 (EolColHdr
69tm "EolColHdrMgr"
70)
71*14 (LogPort
72port (LogicalPort
73decl (Decl
74n "sclk"
75t "std_logic"
76preAdd 0
77posAdd 0
78o 1
79suid 1,0
80)
81)
82uid 68,0
83)
84*15 (LogPort
85port (LogicalPort
86m 2
87decl (Decl
88n "sio"
89t "std_logic"
90preAdd 0
91posAdd 0
92o 2
93suid 2,0
94)
95)
96uid 70,0
97)
98*16 (LogPort
99port (LogicalPort
100decl (Decl
101n "sensor_cs"
102t "std_logic_vector"
103b "(3 downto 0)"
104preAdd 0
105posAdd 0
106o 3
107suid 3,0
108)
109)
110uid 72,0
111)
112]
113)
114pdm (PhysicalDM
115displayShortBounds 1
116editShortBounds 1
117optionalChildren [
118*17 (Sheet
119sheetRow (SheetRow
120headerVa (MVa
121cellColor "49152,49152,49152"
122fontColor "0,0,0"
123font "Tahoma,10,0"
124)
125cellVa (MVa
126cellColor "65535,65535,65535"
127fontColor "0,0,0"
128font "Tahoma,10,0"
129)
130groupVa (MVa
131cellColor "39936,56832,65280"
132fontColor "0,0,0"
133font "Tahoma,10,0"
134)
135emptyMRCItem *18 (MRCItem
136litem &1
137pos 3
138dimension 20
139)
140optionalChildren [
141*19 (MRCItem
142litem &2
143pos 0
144dimension 20
145)
146*20 (MRCItem
147litem &3
148pos 1
149dimension 23
150)
151*21 (MRCItem
152litem &4
153pos 2
154hidden 1
155dimension 20
156)
157*22 (MRCItem
158litem &14
159pos 0
160dimension 20
161uid 69,0
162)
163*23 (MRCItem
164litem &15
165pos 1
166dimension 20
167uid 71,0
168)
169*24 (MRCItem
170litem &16
171pos 2
172dimension 20
173uid 73,0
174)
175]
176)
177sheetCol (SheetCol
178propVa (MVa
179cellColor "0,49152,49152"
180fontColor "0,0,0"
181font "Tahoma,10,0"
182textAngle 90
183)
184optionalChildren [
185*25 (MRCItem
186litem &5
187pos 0
188dimension 20
189)
190*26 (MRCItem
191litem &7
192pos 1
193dimension 50
194)
195*27 (MRCItem
196litem &8
197pos 2
198dimension 100
199)
200*28 (MRCItem
201litem &9
202pos 3
203dimension 50
204)
205*29 (MRCItem
206litem &10
207pos 4
208dimension 100
209)
210*30 (MRCItem
211litem &11
212pos 5
213dimension 100
214)
215*31 (MRCItem
216litem &12
217pos 6
218dimension 50
219)
220*32 (MRCItem
221litem &13
222pos 7
223dimension 80
224)
225]
226)
227fixedCol 4
228fixedRow 2
229name "Ports"
230vaOverrides [
231]
232)
233]
234)
235)
236genericsCommonDM (CommonDM
237ldm (LogicalDM
238emptyRow *33 (LEmptyRow
239)
240optionalChildren [
241*34 (RefLabelRowHdr
242)
243*35 (TitleRowHdr
244)
245*36 (FilterRowHdr
246)
247*37 (RefLabelColHdr
248tm "RefLabelColHdrMgr"
249)
250*38 (RowExpandColHdr
251tm "RowExpandColHdrMgr"
252)
253*39 (GroupColHdr
254tm "GroupColHdrMgr"
255)
256*40 (NameColHdr
257tm "GenericNameColHdrMgr"
258)
259*41 (TypeColHdr
260tm "GenericTypeColHdrMgr"
261)
262*42 (InitColHdr
263tm "GenericValueColHdrMgr"
264)
265*43 (PragmaColHdr
266tm "GenericPragmaColHdrMgr"
267)
268*44 (EolColHdr
269tm "GenericEolColHdrMgr"
270)
271*45 (LogGeneric
272generic (GiElement
273name "DRS_TEMPERATURE"
274type "integer"
275value "51"
276)
277uid 63,0
278)
279]
280)
281pdm (PhysicalDM
282displayShortBounds 1
283editShortBounds 1
284optionalChildren [
285*46 (Sheet
286sheetRow (SheetRow
287headerVa (MVa
288cellColor "49152,49152,49152"
289fontColor "0,0,0"
290font "Tahoma,10,0"
291)
292cellVa (MVa
293cellColor "65535,65535,65535"
294fontColor "0,0,0"
295font "Tahoma,10,0"
296)
297groupVa (MVa
298cellColor "39936,56832,65280"
299fontColor "0,0,0"
300font "Tahoma,10,0"
301)
302emptyMRCItem *47 (MRCItem
303litem &33
304pos 3
305dimension 20
306)
307optionalChildren [
308*48 (MRCItem
309litem &34
310pos 0
311dimension 20
312)
313*49 (MRCItem
314litem &35
315pos 1
316dimension 23
317)
318*50 (MRCItem
319litem &36
320pos 2
321hidden 1
322dimension 20
323)
324*51 (MRCItem
325litem &45
326pos 0
327dimension 20
328uid 64,0
329)
330]
331)
332sheetCol (SheetCol
333propVa (MVa
334cellColor "0,49152,49152"
335fontColor "0,0,0"
336font "Tahoma,10,0"
337textAngle 90
338)
339optionalChildren [
340*52 (MRCItem
341litem &37
342pos 0
343dimension 20
344)
345*53 (MRCItem
346litem &39
347pos 1
348dimension 50
349)
350*54 (MRCItem
351litem &40
352pos 2
353dimension 100
354)
355*55 (MRCItem
356litem &41
357pos 3
358dimension 100
359)
360*56 (MRCItem
361litem &42
362pos 4
363dimension 50
364)
365*57 (MRCItem
366litem &43
367pos 5
368dimension 50
369)
370*58 (MRCItem
371litem &44
372pos 6
373dimension 80
374)
375]
376)
377fixedCol 3
378fixedRow 2
379name "Ports"
380vaOverrides [
381]
382)
383]
384)
385type 1
386)
387VExpander (VariableExpander
388vvMap [
389(vvPair
390variable "HDLDir"
391value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl"
392)
393(vvPair
394variable "HDSDir"
395value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
396)
397(vvPair
398variable "SideDataDesignDir"
399value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\max6662_emulator\\symbol.sb.info"
400)
401(vvPair
402variable "SideDataUserDir"
403value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\max6662_emulator\\symbol.sb.user"
404)
405(vvPair
406variable "SourceDir"
407value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds"
408)
409(vvPair
410variable "appl"
411value "HDL Designer"
412)
413(vvPair
414variable "arch_name"
415value "symbol"
416)
417(vvPair
418variable "config"
419value "%(unit)_config"
420)
421(vvPair
422variable "d"
423value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\max6662_emulator"
424)
425(vvPair
426variable "d_logical"
427value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\max6662_emulator"
428)
429(vvPair
430variable "date"
431value "23.06.2010"
432)
433(vvPair
434variable "day"
435value "Mi"
436)
437(vvPair
438variable "day_long"
439value "Mittwoch"
440)
441(vvPair
442variable "dd"
443value "23"
444)
445(vvPair
446variable "entity_name"
447value "max6662_emulator"
448)
449(vvPair
450variable "ext"
451value "<TBD>"
452)
453(vvPair
454variable "f"
455value "symbol.sb"
456)
457(vvPair
458variable "f_logical"
459value "symbol.sb"
460)
461(vvPair
462variable "f_noext"
463value "symbol"
464)
465(vvPair
466variable "group"
467value "UNKNOWN"
468)
469(vvPair
470variable "host"
471value "EEPC8"
472)
473(vvPair
474variable "language"
475value "VHDL"
476)
477(vvPair
478variable "library"
479value "FACT_FAD_TB_lib"
480)
481(vvPair
482variable "mm"
483value "06"
484)
485(vvPair
486variable "module_name"
487value "max6662_emulator"
488)
489(vvPair
490variable "month"
491value "Jun"
492)
493(vvPair
494variable "month_long"
495value "Juni"
496)
497(vvPair
498variable "p"
499value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\max6662_emulator\\symbol.sb"
500)
501(vvPair
502variable "p_logical"
503value "D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hds\\max6662_emulator\\symbol.sb"
504)
505(vvPair
506variable "package_name"
507value "<Undefined Variable>"
508)
509(vvPair
510variable "project_name"
511value "FACT_FAD"
512)
513(vvPair
514variable "series"
515value "HDL Designer Series"
516)
517(vvPair
518variable "task_DesignCompilerPath"
519value "<TBD>"
520)
521(vvPair
522variable "task_LeonardoPath"
523value "<TBD>"
524)
525(vvPair
526variable "task_ModelSimPath"
527value "$HDS_HOME/../Modeltech/win32"
528)
529(vvPair
530variable "task_NC-SimPath"
531value "<TBD>"
532)
533(vvPair
534variable "task_PrecisionRTLPath"
535value "$HDS_HOME/../Precision/Mgc_home/bin"
536)
537(vvPair
538variable "task_QuestaSimPath"
539value "<TBD>"
540)
541(vvPair
542variable "task_VCSPath"
543value "<TBD>"
544)
545(vvPair
546variable "this_ext"
547value "sb"
548)
549(vvPair
550variable "this_file"
551value "symbol"
552)
553(vvPair
554variable "this_file_logical"
555value "symbol"
556)
557(vvPair
558variable "time"
559value "10:43:22"
560)
561(vvPair
562variable "unit"
563value "max6662_emulator"
564)
565(vvPair
566variable "user"
567value "Benjamin Krumm"
568)
569(vvPair
570variable "version"
571value "2009.2 (Build 10)"
572)
573(vvPair
574variable "view"
575value "symbol"
576)
577(vvPair
578variable "year"
579value "2010"
580)
581(vvPair
582variable "yy"
583value "10"
584)
585]
586)
587LanguageMgr "VhdlLangMgr"
588optionalChildren [
589*59 (SymbolBody
590uid 8,0
591optionalChildren [
592*60 (CptPort
593uid 48,0
594ps "OnEdgeStrategy"
595shape (Triangle
596uid 49,0
597ro 90
598va (VaSet
599vasetType 1
600fg "0,65535,0"
601)
602xt "29250,4625,30000,5375"
603)
604tg (CPTG
605uid 50,0
606ps "CptPortTextPlaceStrategy"
607stg "VerticalLayoutStrategy"
608f (Text
609uid 51,0
610va (VaSet
611)
612xt "31000,4500,32700,5500"
613st "sclk"
614blo "31000,5300"
615tm "CptPortNameMgr"
616)
617)
618dt (MLText
619uid 52,0
620va (VaSet
621font "Courier New,8,0"
622)
623xt "2000,11000,19000,11800"
624st "sclk : IN std_logic ;
625"
626)
627thePort (LogicalPort
628decl (Decl
629n "sclk"
630t "std_logic"
631preAdd 0
632posAdd 0
633o 1
634suid 1,0
635)
636)
637)
638*61 (CptPort
639uid 53,0
640ps "OnEdgeStrategy"
641shape (Diamond
642uid 54,0
643ro 90
644va (VaSet
645vasetType 1
646fg "0,65535,0"
647)
648xt "40000,4625,40750,5375"
649)
650tg (CPTG
651uid 55,0
652ps "CptPortTextPlaceStrategy"
653stg "RightVerticalLayoutStrategy"
654f (Text
655uid 56,0
656va (VaSet
657)
658xt "37600,4500,39000,5500"
659st "sio"
660ju 2
661blo "39000,5300"
662tm "CptPortNameMgr"
663)
664)
665dt (MLText
666uid 57,0
667va (VaSet
668font "Courier New,8,0"
669)
670xt "2000,11800,19000,12600"
671st "sio : INOUT std_logic ;
672"
673)
674thePort (LogicalPort
675m 2
676decl (Decl
677n "sio"
678t "std_logic"
679preAdd 0
680posAdd 0
681o 2
682suid 2,0
683)
684)
685)
686*62 (CptPort
687uid 58,0
688ps "OnEdgeStrategy"
689shape (Triangle
690uid 59,0
691ro 90
692va (VaSet
693vasetType 1
694fg "0,65535,0"
695)
696xt "29250,6625,30000,7375"
697)
698tg (CPTG
699uid 60,0
700ps "CptPortTextPlaceStrategy"
701stg "VerticalLayoutStrategy"
702f (Text
703uid 61,0
704va (VaSet
705)
706xt "31000,6500,34900,7500"
707st "sensor_cs"
708blo "31000,7300"
709tm "CptPortNameMgr"
710)
711)
712dt (MLText
713uid 62,0
714va (VaSet
715font "Courier New,8,0"
716)
717xt "2000,12600,28000,13400"
718st "sensor_cs : IN std_logic_vector (3 downto 0)
719"
720)
721thePort (LogicalPort
722decl (Decl
723n "sensor_cs"
724t "std_logic_vector"
725b "(3 downto 0)"
726preAdd 0
727posAdd 0
728o 3
729suid 3,0
730)
731)
732)
733]
734shape (Rectangle
735uid 9,0
736va (VaSet
737vasetType 1
738fg "0,65535,0"
739lineColor "0,32896,0"
740lineWidth 2
741)
742xt "30000,3000,40000,13000"
743)
744biTextGroup (BiTextGroup
745uid 10,0
746ps "CenterOffsetStrategy"
747stg "VerticalLayoutStrategy"
748first (Text
749uid 11,0
750va (VaSet
751font "Arial,8,1"
752)
753xt "33200,7000,40900,8000"
754st "FACT_FAD_TB_lib"
755blo "33200,7800"
756)
757second (Text
758uid 12,0
759va (VaSet
760font "Arial,8,1"
761)
762xt "33200,8000,40800,9000"
763st "max6662_emulator"
764blo "33200,8800"
765)
766)
767gi *63 (GenericInterface
768uid 13,0
769ps "CenterOffsetStrategy"
770matrix (Matrix
771uid 14,0
772text (MLText
773uid 15,0
774va (VaSet
775font "Courier New,8,0"
776)
777xt "30000,-400,45500,2000"
778st "Generic Declarations
779
780DRS_TEMPERATURE integer 51
781"
782)
783header "Generic Declarations"
784showHdrWhenContentsEmpty 1
785)
786elements [
787(GiElement
788name "DRS_TEMPERATURE"
789type "integer"
790value "51"
791)
792]
793)
794portInstanceVisAsIs 1
795portInstanceVis (PortSigDisplay
796sIVOD 1
797)
798portVis (PortSigDisplay
799sTC 0
800)
801)
802*64 (Grouping
803uid 16,0
804optionalChildren [
805*65 (CommentText
806uid 18,0
807shape (Rectangle
808uid 19,0
809sl 0
810va (VaSet
811vasetType 1
812fg "65280,65280,46080"
813)
814xt "34000,21000,51000,22000"
815)
816oxt "18000,70000,35000,71000"
817text (MLText
818uid 20,0
819va (VaSet
820fg "0,0,32768"
821bg "0,0,32768"
822)
823xt "34200,21000,47200,22000"
824st "
825by %user on %dd %month %year
826"
827tm "CommentText"
828wrapOption 3
829visibleHeight 1000
830visibleWidth 17000
831)
832position 1
833ignorePrefs 1
834titleBlock 1
835)
836*66 (CommentText
837uid 21,0
838shape (Rectangle
839uid 22,0
840sl 0
841va (VaSet
842vasetType 1
843fg "65280,65280,46080"
844)
845xt "51000,17000,55000,18000"
846)
847oxt "35000,66000,39000,67000"
848text (MLText
849uid 23,0
850va (VaSet
851fg "0,0,32768"
852bg "0,0,32768"
853)
854xt "51200,17000,54200,18000"
855st "
856Project:
857"
858tm "CommentText"
859wrapOption 3
860visibleHeight 1000
861visibleWidth 4000
862)
863position 1
864ignorePrefs 1
865titleBlock 1
866)
867*67 (CommentText
868uid 24,0
869shape (Rectangle
870uid 25,0
871sl 0
872va (VaSet
873vasetType 1
874fg "65280,65280,46080"
875)
876xt "34000,19000,51000,20000"
877)
878oxt "18000,68000,35000,69000"
879text (MLText
880uid 26,0
881va (VaSet
882fg "0,0,32768"
883bg "0,0,32768"
884)
885xt "34200,19000,44200,20000"
886st "
887<enter diagram title here>
888"
889tm "CommentText"
890wrapOption 3
891visibleHeight 1000
892visibleWidth 17000
893)
894position 1
895ignorePrefs 1
896titleBlock 1
897)
898*68 (CommentText
899uid 27,0
900shape (Rectangle
901uid 28,0
902sl 0
903va (VaSet
904vasetType 1
905fg "65280,65280,46080"
906)
907xt "30000,19000,34000,20000"
908)
909oxt "14000,68000,18000,69000"
910text (MLText
911uid 29,0
912va (VaSet
913fg "0,0,32768"
914bg "0,0,32768"
915)
916xt "30200,19000,32300,20000"
917st "
918Title:
919"
920tm "CommentText"
921wrapOption 3
922visibleHeight 1000
923visibleWidth 4000
924)
925position 1
926ignorePrefs 1
927titleBlock 1
928)
929*69 (CommentText
930uid 30,0
931shape (Rectangle
932uid 31,0
933sl 0
934va (VaSet
935vasetType 1
936fg "65280,65280,46080"
937)
938xt "51000,18000,71000,22000"
939)
940oxt "35000,67000,55000,71000"
941text (MLText
942uid 32,0
943va (VaSet
944fg "0,0,32768"
945bg "0,0,32768"
946)
947xt "51200,18200,60400,19200"
948st "
949<enter comments here>
950"
951tm "CommentText"
952wrapOption 3
953visibleHeight 4000
954visibleWidth 20000
955)
956ignorePrefs 1
957titleBlock 1
958)
959*70 (CommentText
960uid 33,0
961shape (Rectangle
962uid 34,0
963sl 0
964va (VaSet
965vasetType 1
966fg "65280,65280,46080"
967)
968xt "55000,17000,71000,18000"
969)
970oxt "39000,66000,55000,67000"
971text (MLText
972uid 35,0
973va (VaSet
974fg "0,0,32768"
975bg "0,0,32768"
976)
977xt "55200,17000,59700,18000"
978st "
979%project_name
980"
981tm "CommentText"
982wrapOption 3
983visibleHeight 1000
984visibleWidth 16000
985)
986position 1
987ignorePrefs 1
988titleBlock 1
989)
990*71 (CommentText
991uid 36,0
992shape (Rectangle
993uid 37,0
994sl 0
995va (VaSet
996vasetType 1
997fg "65280,65280,46080"
998)
999xt "30000,17000,51000,19000"
1000)
1001oxt "14000,66000,35000,68000"
1002text (MLText
1003uid 38,0
1004va (VaSet
1005fg "32768,0,0"
1006)
1007xt "37700,17000,43300,19000"
1008st "
1009TU Dortmund
1010Physik / EE
1011"
1012ju 0
1013tm "CommentText"
1014wrapOption 3
1015visibleHeight 2000
1016visibleWidth 21000
1017)
1018position 1
1019ignorePrefs 1
1020titleBlock 1
1021)
1022*72 (CommentText
1023uid 39,0
1024shape (Rectangle
1025uid 40,0
1026sl 0
1027va (VaSet
1028vasetType 1
1029fg "65280,65280,46080"
1030)
1031xt "30000,20000,34000,21000"
1032)
1033oxt "14000,69000,18000,70000"
1034text (MLText
1035uid 41,0
1036va (VaSet
1037fg "0,0,32768"
1038bg "0,0,32768"
1039)
1040xt "30200,20000,32300,21000"
1041st "
1042Path:
1043"
1044tm "CommentText"
1045wrapOption 3
1046visibleHeight 1000
1047visibleWidth 4000
1048)
1049position 1
1050ignorePrefs 1
1051titleBlock 1
1052)
1053*73 (CommentText
1054uid 42,0
1055shape (Rectangle
1056uid 43,0
1057sl 0
1058va (VaSet
1059vasetType 1
1060fg "65280,65280,46080"
1061)
1062xt "30000,21000,34000,22000"
1063)
1064oxt "14000,70000,18000,71000"
1065text (MLText
1066uid 44,0
1067va (VaSet
1068fg "0,0,32768"
1069bg "0,0,32768"
1070)
1071xt "30200,21000,32900,22000"
1072st "
1073Edited:
1074"
1075tm "CommentText"
1076wrapOption 3
1077visibleHeight 1000
1078visibleWidth 4000
1079)
1080position 1
1081ignorePrefs 1
1082titleBlock 1
1083)
1084*74 (CommentText
1085uid 45,0
1086shape (Rectangle
1087uid 46,0
1088sl 0
1089va (VaSet
1090vasetType 1
1091fg "65280,65280,46080"
1092)
1093xt "34000,20000,51000,21000"
1094)
1095oxt "18000,69000,35000,70000"
1096text (MLText
1097uid 47,0
1098va (VaSet
1099fg "0,0,32768"
1100bg "0,0,32768"
1101)
1102xt "34200,20000,43200,21000"
1103st "
1104%library/%unit/%view
1105"
1106tm "CommentText"
1107wrapOption 3
1108visibleHeight 1000
1109visibleWidth 17000
1110)
1111position 1
1112ignorePrefs 1
1113titleBlock 1
1114)
1115]
1116shape (GroupingShape
1117uid 17,0
1118va (VaSet
1119vasetType 1
1120fg "65535,65535,65535"
1121lineStyle 2
1122lineWidth 2
1123)
1124xt "30000,17000,71000,22000"
1125)
1126oxt "14000,66000,55000,71000"
1127)
1128*75 (CommentText
1129uid 65,0
1130shape (Rectangle
1131uid 66,0
1132layer 0
1133va (VaSet
1134vasetType 1
1135fg "65280,65280,46080"
1136lineColor "0,0,32768"
1137)
1138xt "0,-6000,33000,0"
1139)
1140text (MLText
1141uid 67,0
1142va (VaSet
1143fg "0,0,32768"
1144font "Arial,10,0"
1145)
1146xt "200,-5800,32200,-600"
1147st "
1148Created using Mentor Graphics HDL2Graphics(TM) Technology
1149on - 10:43:22 23.06.2010
1150from - D:\\E5b\\E5b_09_189\\FPGA\\FAD_repos\\unstable\\FACT_FAD\\FACT_FAD_TB_lib\\hdl\\max6662_emulator_beha.vhd
1151
1152"
1153tm "CommentText"
1154wrapOption 3
1155visibleHeight 5600
1156visibleWidth 32600
1157)
1158)
1159]
1160bg "65535,65535,65535"
1161grid (Grid
1162origin "0,0"
1163isVisible 1
1164isActive 1
1165xSpacing 1000
1166xySpacing 1000
1167xShown 1
1168yShown 1
1169color "26368,26368,26368"
1170)
1171packageList *76 (PackageList
1172uid 74,0
1173stg "VerticalLayoutStrategy"
1174textVec [
1175*77 (Text
1176uid 75,0
1177va (VaSet
1178font "Arial,8,1"
1179)
1180xt "0,1000,5400,2000"
1181st "Package List"
1182blo "0,1800"
1183)
1184*78 (MLText
1185uid 76,0
1186va (VaSet
1187)
1188xt "0,2000,14500,8000"
1189st "LIBRARY ieee;
1190USE ieee.std_logic_1164.all;
1191USE ieee.std_logic_arith.all;
1192USE ieee.std_logic_unsigned.all;
1193LIBRARY FACT_FAD_lib;
1194USE FACT_FAD_lib.fad_definitions.all;
1195"
1196tm "PackageList"
1197)
1198]
1199)
1200windowSize "0,0,1015,690"
1201viewArea "0,0,0,0"
1202cachedDiagramExtent "0,0,0,0"
1203pageBreakOrigin "0,0"
1204defaultCommentText (CommentText
1205shape (Rectangle
1206layer 0
1207va (VaSet
1208vasetType 1
1209fg "65280,65280,46080"
1210lineColor "0,0,32768"
1211)
1212xt "0,0,15000,5000"
1213)
1214text (MLText
1215va (VaSet
1216fg "0,0,32768"
1217)
1218xt "200,200,2000,1200"
1219st "
1220Text
1221"
1222tm "CommentText"
1223wrapOption 3
1224visibleHeight 4600
1225visibleWidth 14600
1226)
1227)
1228defaultPanel (Panel
1229shape (RectFrame
1230va (VaSet
1231vasetType 1
1232fg "65535,65535,65535"
1233lineColor "32768,0,0"
1234lineWidth 3
1235)
1236xt "0,0,20000,20000"
1237)
1238title (TextAssociate
1239ps "TopLeftStrategy"
1240text (Text
1241va (VaSet
1242font "Arial,8,1"
1243)
1244xt "1000,1000,3800,2000"
1245st "Panel0"
1246blo "1000,1800"
1247tm "PanelText"
1248)
1249)
1250)
1251parentGraphicsRef (HdmGraphicsRef
1252libraryName ""
1253entityName ""
1254viewName ""
1255)
1256defaultSymbolBody (SymbolBody
1257shape (Rectangle
1258va (VaSet
1259vasetType 1
1260fg "0,65535,0"
1261lineColor "0,32896,0"
1262lineWidth 2
1263)
1264xt "15000,6000,33000,26000"
1265)
1266biTextGroup (BiTextGroup
1267ps "CenterOffsetStrategy"
1268stg "VerticalLayoutStrategy"
1269first (Text
1270va (VaSet
1271font "Arial,8,1"
1272)
1273xt "22200,15000,25800,16000"
1274st "<library>"
1275blo "22200,15800"
1276)
1277second (Text
1278va (VaSet
1279font "Arial,8,1"
1280)
1281xt "22200,16000,24800,17000"
1282st "<cell>"
1283blo "22200,16800"
1284)
1285)
1286gi *79 (GenericInterface
1287ps "CenterOffsetStrategy"
1288matrix (Matrix
1289text (MLText
1290va (VaSet
1291font "Courier New,8,0"
1292)
1293xt "0,12000,11500,12800"
1294st "Generic Declarations"
1295)
1296header "Generic Declarations"
1297showHdrWhenContentsEmpty 1
1298)
1299elements [
1300]
1301)
1302portInstanceVisAsIs 1
1303portInstanceVis (PortSigDisplay
1304sIVOD 1
1305)
1306portVis (PortSigDisplay
1307sIVOD 1
1308)
1309)
1310defaultCptPort (CptPort
1311ps "OnEdgeStrategy"
1312shape (Triangle
1313ro 90
1314va (VaSet
1315vasetType 1
1316fg "0,65535,0"
1317)
1318xt "0,0,750,750"
1319)
1320tg (CPTG
1321ps "CptPortTextPlaceStrategy"
1322stg "VerticalLayoutStrategy"
1323f (Text
1324va (VaSet
1325)
1326xt "0,750,1400,1750"
1327st "In0"
1328blo "0,1550"
1329tm "CptPortNameMgr"
1330)
1331)
1332dt (MLText
1333va (VaSet
1334font "Courier New,8,0"
1335)
1336)
1337thePort (LogicalPort
1338decl (Decl
1339n "In0"
1340t "std_logic_vector"
1341b "(15 DOWNTO 0)"
1342o 0
1343)
1344)
1345)
1346defaultCptPortBuffer (CptPort
1347ps "OnEdgeStrategy"
1348shape (Diamond
1349va (VaSet
1350vasetType 1
1351fg "65535,65535,65535"
1352bg "0,0,0"
1353)
1354xt "0,0,750,750"
1355)
1356tg (CPTG
1357ps "CptPortTextPlaceStrategy"
1358stg "VerticalLayoutStrategy"
1359f (Text
1360va (VaSet
1361)
1362xt "0,750,2800,1750"
1363st "Buffer0"
1364blo "0,1550"
1365tm "CptPortNameMgr"
1366)
1367)
1368dt (MLText
1369va (VaSet
1370font "Courier New,8,0"
1371)
1372)
1373thePort (LogicalPort
1374m 3
1375decl (Decl
1376n "Buffer0"
1377t "std_logic_vector"
1378b "(15 DOWNTO 0)"
1379o 0
1380)
1381)
1382)
1383DeclarativeBlock *80 (SymDeclBlock
1384uid 1,0
1385stg "SymDeclLayoutStrategy"
1386declLabel (Text
1387uid 2,0
1388va (VaSet
1389font "Arial,8,1"
1390)
1391xt "0,9000,5400,10000"
1392st "Declarations"
1393blo "0,9800"
1394)
1395portLabel (Text
1396uid 3,0
1397va (VaSet
1398font "Arial,8,1"
1399)
1400xt "0,10000,2700,11000"
1401st "Ports:"
1402blo "0,10800"
1403)
1404externalLabel (Text
1405uid 4,0
1406va (VaSet
1407font "Arial,8,1"
1408)
1409xt "0,13400,2400,14400"
1410st "User:"
1411blo "0,14200"
1412)
1413internalLabel (Text
1414uid 6,0
1415va (VaSet
1416isHidden 1
1417font "Arial,8,1"
1418)
1419xt "0,9000,5800,10000"
1420st "Internal User:"
1421blo "0,9800"
1422)
1423externalText (MLText
1424uid 5,0
1425va (VaSet
1426font "Courier New,8,0"
1427)
1428xt "2000,14400,2000,14400"
1429tm "SyDeclarativeTextMgr"
1430)
1431internalText (MLText
1432uid 7,0
1433va (VaSet
1434isHidden 1
1435font "Courier New,8,0"
1436)
1437xt "0,9000,0,9000"
1438tm "SyDeclarativeTextMgr"
1439)
1440)
1441lastUid 76,0
1442activeModelName "Symbol:CDM"
1443)
Note: See TracBrowser for help on using the repository browser.