source: firmware/FAD/FACT_FAD_TB_lib/hds/mod7_tb/struct.bd

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 41.9 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "ieee"
12unitName "std_logic_unsigned"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "std_logic_arith"
18itemName "ALL"
19)
20]
21instances [
22(Instance
23name "U_0"
24duLibraryName "FACT_FAD_lib"
25duName "mod7"
26elements [
27]
28mwi 0
29uid 33,0
30)
31(Instance
32name "U_1"
33duLibraryName "FACT_FAD_TB_lib"
34duName "mod7_tester"
35elements [
36]
37mwi 0
38uid 91,0
39)
40(Instance
41name "U_2"
42duLibraryName "FACT_FAD_TB_lib"
43duName "clock_generator"
44elements [
45(GiElement
46name "clock_period"
47type "time"
48value "20 ns"
49)
50(GiElement
51name "reset_time"
52type "time"
53value "50 ns"
54)
55]
56mwi 0
57uid 308,0
58)
59]
60libraryRefs [
61"ieee"
62]
63)
64version "29.1"
65appVersion "2009.2 (Build 10)"
66noEmbeddedEditors 1
67model (BlockDiag
68VExpander (VariableExpander
69vvMap [
70(vvPair
71variable "HDLDir"
72value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
73)
74(vvPair
75variable "HDSDir"
76value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
77)
78(vvPair
79variable "SideDataDesignDir"
80value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb\\struct.bd.info"
81)
82(vvPair
83variable "SideDataUserDir"
84value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb\\struct.bd.user"
85)
86(vvPair
87variable "SourceDir"
88value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
89)
90(vvPair
91variable "appl"
92value "HDL Designer"
93)
94(vvPair
95variable "arch_name"
96value "struct"
97)
98(vvPair
99variable "config"
100value "%(unit)_%(view)_config"
101)
102(vvPair
103variable "d"
104value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb"
105)
106(vvPair
107variable "d_logical"
108value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb"
109)
110(vvPair
111variable "date"
112value "16.02.2011"
113)
114(vvPair
115variable "day"
116value "Mi"
117)
118(vvPair
119variable "day_long"
120value "Mittwoch"
121)
122(vvPair
123variable "dd"
124value "16"
125)
126(vvPair
127variable "entity_name"
128value "mod7_tb"
129)
130(vvPair
131variable "ext"
132value "<TBD>"
133)
134(vvPair
135variable "f"
136value "struct.bd"
137)
138(vvPair
139variable "f_logical"
140value "struct.bd"
141)
142(vvPair
143variable "f_noext"
144value "struct"
145)
146(vvPair
147variable "group"
148value "UNKNOWN"
149)
150(vvPair
151variable "host"
152value "E5B-LABOR6"
153)
154(vvPair
155variable "language"
156value "VHDL"
157)
158(vvPair
159variable "library"
160value "FACT_FAD_TB_lib"
161)
162(vvPair
163variable "library_downstream_ISEPARInvoke"
164value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
165)
166(vvPair
167variable "library_downstream_ImpactInvoke"
168value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
169)
170(vvPair
171variable "library_downstream_ModelSimCompiler"
172value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
173)
174(vvPair
175variable "library_downstream_XSTDataPrep"
176value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
177)
178(vvPair
179variable "mm"
180value "02"
181)
182(vvPair
183variable "module_name"
184value "mod7_tb"
185)
186(vvPair
187variable "month"
188value "Feb"
189)
190(vvPair
191variable "month_long"
192value "Februar"
193)
194(vvPair
195variable "p"
196value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb\\struct.bd"
197)
198(vvPair
199variable "p_logical"
200value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb\\struct.bd"
201)
202(vvPair
203variable "package_name"
204value "<Undefined Variable>"
205)
206(vvPair
207variable "project_name"
208value "FACT_FAD"
209)
210(vvPair
211variable "series"
212value "HDL Designer Series"
213)
214(vvPair
215variable "task_DesignCompilerPath"
216value "<TBD>"
217)
218(vvPair
219variable "task_LeonardoPath"
220value "<TBD>"
221)
222(vvPair
223variable "task_ModelSimPath"
224value "C:\\modeltech_6.6a\\win32"
225)
226(vvPair
227variable "task_NC-SimPath"
228value "<TBD>"
229)
230(vvPair
231variable "task_PrecisionRTLPath"
232value "<TBD>"
233)
234(vvPair
235variable "task_QuestaSimPath"
236value "<TBD>"
237)
238(vvPair
239variable "task_VCSPath"
240value "<TBD>"
241)
242(vvPair
243variable "this_ext"
244value "bd"
245)
246(vvPair
247variable "this_file"
248value "struct"
249)
250(vvPair
251variable "this_file_logical"
252value "struct"
253)
254(vvPair
255variable "time"
256value "15:53:52"
257)
258(vvPair
259variable "unit"
260value "mod7_tb"
261)
262(vvPair
263variable "user"
264value "dneise"
265)
266(vvPair
267variable "version"
268value "2009.2 (Build 10)"
269)
270(vvPair
271variable "view"
272value "struct"
273)
274(vvPair
275variable "year"
276value "2011"
277)
278(vvPair
279variable "yy"
280value "11"
281)
282]
283)
284LanguageMgr "VhdlLangMgr"
285uid 204,0
286optionalChildren [
287*1 (SaComponent
288uid 33,0
289optionalChildren [
290*2 (CptPort
291uid 9,0
292ps "OnEdgeStrategy"
293shape (Triangle
294uid 10,0
295ro 90
296va (VaSet
297vasetType 1
298fg "0,65535,0"
299)
300xt "9250,26625,10000,27375"
301)
302tg (CPTG
303uid 11,0
304ps "CptPortTextPlaceStrategy"
305stg "VerticalLayoutStrategy"
306f (Text
307uid 12,0
308va (VaSet
309)
310xt "11000,26500,12300,27500"
311st "clk"
312blo "11000,27300"
313)
314)
315thePort (LogicalPort
316decl (Decl
317n "clk"
318t "std_logic"
319o 1
320)
321)
322)
323*3 (CptPort
324uid 13,0
325ps "OnEdgeStrategy"
326shape (Triangle
327uid 14,0
328ro 90
329va (VaSet
330vasetType 1
331fg "0,65535,0"
332)
333xt "9250,27625,10000,28375"
334)
335tg (CPTG
336uid 15,0
337ps "CptPortTextPlaceStrategy"
338stg "VerticalLayoutStrategy"
339f (Text
340uid 16,0
341va (VaSet
342)
343xt "11000,27500,16900,28500"
344st "number : (31:0)"
345blo "11000,28300"
346)
347)
348thePort (LogicalPort
349decl (Decl
350n "number"
351t "std_logic_vector"
352b "(31 downto 0)"
353o 2
354)
355)
356)
357*4 (CptPort
358uid 17,0
359ps "OnEdgeStrategy"
360shape (Triangle
361uid 18,0
362ro 90
363va (VaSet
364vasetType 1
365fg "0,65535,0"
366)
367xt "9250,28625,10000,29375"
368)
369tg (CPTG
370uid 19,0
371ps "CptPortTextPlaceStrategy"
372stg "VerticalLayoutStrategy"
373f (Text
374uid 20,0
375va (VaSet
376)
377xt "11000,28500,12900,29500"
378st "start"
379blo "11000,29300"
380)
381)
382thePort (LogicalPort
383decl (Decl
384n "start"
385t "std_logic"
386o 3
387)
388)
389)
390*5 (CptPort
391uid 21,0
392ps "OnEdgeStrategy"
393shape (Triangle
394uid 22,0
395ro 90
396va (VaSet
397vasetType 1
398fg "0,65535,0"
399)
400xt "25000,26625,25750,27375"
401)
402tg (CPTG
403uid 23,0
404ps "CptPortTextPlaceStrategy"
405stg "RightVerticalLayoutStrategy"
406f (Text
407uid 24,0
408va (VaSet
409)
410xt "17600,26500,24000,27500"
411st "remainder : (2:0)"
412ju 2
413blo "24000,27300"
414)
415)
416thePort (LogicalPort
417m 1
418decl (Decl
419n "remainder"
420t "std_logic_vector"
421b "(2 downto 0)"
422o 4
423i "(others => '0')"
424)
425)
426)
427*6 (CptPort
428uid 25,0
429ps "OnEdgeStrategy"
430shape (Triangle
431uid 26,0
432ro 90
433va (VaSet
434vasetType 1
435fg "0,65535,0"
436)
437xt "25000,27625,25750,28375"
438)
439tg (CPTG
440uid 27,0
441ps "CptPortTextPlaceStrategy"
442stg "RightVerticalLayoutStrategy"
443f (Text
444uid 28,0
445va (VaSet
446)
447xt "21300,27500,24000,28500"
448st "started"
449ju 2
450blo "24000,28300"
451)
452)
453thePort (LogicalPort
454m 1
455decl (Decl
456n "started"
457t "std_logic"
458o 5
459i "'0'"
460)
461)
462)
463*7 (CptPort
464uid 29,0
465ps "OnEdgeStrategy"
466shape (Triangle
467uid 30,0
468ro 90
469va (VaSet
470vasetType 1
471fg "0,65535,0"
472)
473xt "25000,28625,25750,29375"
474)
475tg (CPTG
476uid 31,0
477ps "CptPortTextPlaceStrategy"
478stg "RightVerticalLayoutStrategy"
479f (Text
480uid 32,0
481va (VaSet
482)
483xt "22100,28500,24000,29500"
484st "valid"
485ju 2
486blo "24000,29300"
487)
488)
489thePort (LogicalPort
490m 1
491decl (Decl
492n "valid"
493t "std_logic"
494o 6
495i "'0'"
496)
497)
498)
499]
500shape (Rectangle
501uid 34,0
502va (VaSet
503vasetType 1
504fg "0,65535,0"
505lineColor "0,32896,0"
506lineWidth 2
507)
508xt "10000,26000,25000,33000"
509)
510oxt "0,10000,15000,14000"
511ttg (MlTextGroup
512uid 35,0
513ps "CenterOffsetStrategy"
514stg "VerticalLayoutStrategy"
515textVec [
516*8 (Text
517uid 36,0
518va (VaSet
519font "Arial,8,1"
520)
521xt "23400,35000,29600,36000"
522st "FACT_FAD_lib"
523blo "23400,35800"
524tm "BdLibraryNameMgr"
525)
526*9 (Text
527uid 37,0
528va (VaSet
529font "Arial,8,1"
530)
531xt "23400,36000,25900,37000"
532st "mod7"
533blo "23400,36800"
534tm "CptNameMgr"
535)
536*10 (Text
537uid 38,0
538va (VaSet
539font "Arial,8,1"
540)
541xt "23400,37000,25200,38000"
542st "U_0"
543blo "23400,37800"
544tm "InstanceNameMgr"
545)
546]
547)
548ga (GenericAssociation
549uid 39,0
550ps "EdgeToEdgeStrategy"
551matrix (Matrix
552uid 40,0
553text (MLText
554uid 41,0
555va (VaSet
556font "Courier New,8,0"
557)
558xt "17500,26000,17500,26000"
559)
560header ""
561)
562elements [
563]
564)
565viewicon (ZoomableIcon
566uid 42,0
567sl 0
568va (VaSet
569vasetType 1
570fg "49152,49152,49152"
571)
572xt "10250,31250,11750,32750"
573iconName "VhdlFileViewIcon.png"
574iconMaskName "VhdlFileViewIcon.msk"
575ftype 10
576)
577ordering 1
578viewiconposition 0
579portVis (PortSigDisplay
580)
581archType 1
582archFileType "UNKNOWN"
583)
584*11 (Net
585uid 43,0
586lang 10
587decl (Decl
588n "remainder"
589t "std_logic_vector"
590b "(2 DOWNTO 0)"
591o 1
592suid 1,0
593)
594declText (MLText
595uid 44,0
596va (VaSet
597font "Courier New,8,0"
598)
599xt "22000,4600,47500,5400"
600st "SIGNAL remainder : std_logic_vector(2 DOWNTO 0)"
601)
602)
603*12 (Net
604uid 51,0
605lang 10
606decl (Decl
607n "started"
608t "std_logic"
609o 2
610suid 2,0
611)
612declText (MLText
613uid 52,0
614va (VaSet
615font "Courier New,8,0"
616)
617xt "22000,6200,37500,7000"
618st "SIGNAL started : std_logic"
619)
620)
621*13 (Net
622uid 59,0
623lang 10
624decl (Decl
625n "valid"
626t "std_logic"
627o 3
628suid 3,0
629)
630declText (MLText
631uid 60,0
632va (VaSet
633font "Courier New,8,0"
634)
635xt "22000,7000,37500,7800"
636st "SIGNAL valid : std_logic"
637)
638)
639*14 (Net
640uid 67,0
641decl (Decl
642n "clk"
643t "std_logic"
644o 4
645suid 4,0
646)
647declText (MLText
648uid 68,0
649va (VaSet
650font "Courier New,8,0"
651)
652xt "22000,3000,37500,3800"
653st "SIGNAL clk : std_logic"
654)
655)
656*15 (Net
657uid 75,0
658lang 10
659decl (Decl
660n "number"
661t "std_logic_vector"
662b "(31 DOWNTO 0)"
663o 5
664suid 5,0
665)
666declText (MLText
667uid 76,0
668va (VaSet
669font "Courier New,8,0"
670)
671xt "22000,3800,48000,4600"
672st "SIGNAL number : std_logic_vector(31 DOWNTO 0)"
673)
674)
675*16 (Net
676uid 83,0
677decl (Decl
678n "start"
679t "std_logic"
680o 6
681suid 6,0
682)
683declText (MLText
684uid 84,0
685va (VaSet
686font "Courier New,8,0"
687)
688xt "22000,5400,37500,6200"
689st "SIGNAL start : std_logic"
690)
691)
692*17 (Blk
693uid 91,0
694shape (Rectangle
695uid 92,0
696va (VaSet
697vasetType 1
698fg "39936,56832,65280"
699lineColor "0,0,32768"
700lineWidth 2
701)
702xt "37000,10000,52000,14000"
703)
704ttg (MlTextGroup
705uid 93,0
706ps "CenterOffsetStrategy"
707stg "VerticalLayoutStrategy"
708textVec [
709*18 (Text
710uid 94,0
711va (VaSet
712font "Arial,8,1"
713)
714xt "40650,10500,48350,11500"
715st "FACT_FAD_TB_lib"
716blo "40650,11300"
717tm "BdLibraryNameMgr"
718)
719*19 (Text
720uid 95,0
721va (VaSet
722font "Arial,8,1"
723)
724xt "40650,11500,46050,12500"
725st "mod7_tester"
726blo "40650,12300"
727tm "BlkNameMgr"
728)
729*20 (Text
730uid 96,0
731va (VaSet
732font "Arial,8,1"
733)
734xt "40650,12500,42450,13500"
735st "U_1"
736blo "40650,13300"
737tm "InstanceNameMgr"
738)
739]
740)
741ga (GenericAssociation
742uid 97,0
743ps "EdgeToEdgeStrategy"
744matrix (Matrix
745uid 98,0
746text (MLText
747uid 99,0
748va (VaSet
749font "Courier New,8,0"
750)
751xt "40650,20500,40650,20500"
752)
753header ""
754)
755elements [
756]
757)
758viewicon (ZoomableIcon
759uid 100,0
760sl 0
761va (VaSet
762vasetType 1
763fg "49152,49152,49152"
764)
765xt "37250,12250,38750,13750"
766iconName "VhdlFileViewIcon.png"
767iconMaskName "VhdlFileViewIcon.msk"
768ftype 10
769)
770ordering 1
771viewiconposition 0
772blkPorts [
773"remainder"
774"started"
775"valid"
776"number"
777"start"
778]
779)
780*21 (Grouping
781uid 149,0
782optionalChildren [
783*22 (CommentText
784uid 151,0
785shape (Rectangle
786uid 152,0
787sl 0
788va (VaSet
789vasetType 1
790fg "65280,65280,46080"
791)
792xt "26000,48000,43000,49000"
793)
794oxt "18000,70000,35000,71000"
795text (MLText
796uid 153,0
797va (VaSet
798fg "0,0,32768"
799bg "0,0,32768"
800)
801xt "26200,48000,35800,49000"
802st "
803by %user on %dd %month %year
804"
805tm "CommentText"
806wrapOption 3
807visibleHeight 1000
808visibleWidth 17000
809)
810position 1
811ignorePrefs 1
812titleBlock 1
813)
814*23 (CommentText
815uid 154,0
816shape (Rectangle
817uid 155,0
818sl 0
819va (VaSet
820vasetType 1
821fg "65280,65280,46080"
822)
823xt "43000,44000,47000,45000"
824)
825oxt "35000,66000,39000,67000"
826text (MLText
827uid 156,0
828va (VaSet
829fg "0,0,32768"
830bg "0,0,32768"
831)
832xt "43200,44000,46200,45000"
833st "
834Project:
835"
836tm "CommentText"
837wrapOption 3
838visibleHeight 1000
839visibleWidth 4000
840)
841position 1
842ignorePrefs 1
843titleBlock 1
844)
845*24 (CommentText
846uid 157,0
847shape (Rectangle
848uid 158,0
849sl 0
850va (VaSet
851vasetType 1
852fg "65280,65280,46080"
853)
854xt "26000,46000,43000,47000"
855)
856oxt "18000,68000,35000,69000"
857text (MLText
858uid 159,0
859va (VaSet
860fg "0,0,32768"
861bg "0,0,32768"
862)
863xt "26200,46000,36200,47000"
864st "
865<enter diagram title here>
866"
867tm "CommentText"
868wrapOption 3
869visibleHeight 1000
870visibleWidth 17000
871)
872position 1
873ignorePrefs 1
874titleBlock 1
875)
876*25 (CommentText
877uid 160,0
878shape (Rectangle
879uid 161,0
880sl 0
881va (VaSet
882vasetType 1
883fg "65280,65280,46080"
884)
885xt "22000,46000,26000,47000"
886)
887oxt "14000,68000,18000,69000"
888text (MLText
889uid 162,0
890va (VaSet
891fg "0,0,32768"
892bg "0,0,32768"
893)
894xt "22200,46000,24300,47000"
895st "
896Title:
897"
898tm "CommentText"
899wrapOption 3
900visibleHeight 1000
901visibleWidth 4000
902)
903position 1
904ignorePrefs 1
905titleBlock 1
906)
907*26 (CommentText
908uid 163,0
909shape (Rectangle
910uid 164,0
911sl 0
912va (VaSet
913vasetType 1
914fg "65280,65280,46080"
915)
916xt "43000,45000,63000,49000"
917)
918oxt "35000,67000,55000,71000"
919text (MLText
920uid 165,0
921va (VaSet
922fg "0,0,32768"
923bg "0,0,32768"
924)
925xt "43200,45200,52400,46200"
926st "
927<enter comments here>
928"
929tm "CommentText"
930wrapOption 3
931visibleHeight 4000
932visibleWidth 20000
933)
934ignorePrefs 1
935titleBlock 1
936)
937*27 (CommentText
938uid 166,0
939shape (Rectangle
940uid 167,0
941sl 0
942va (VaSet
943vasetType 1
944fg "65280,65280,46080"
945)
946xt "47000,44000,63000,45000"
947)
948oxt "39000,66000,55000,67000"
949text (MLText
950uid 168,0
951va (VaSet
952fg "0,0,32768"
953bg "0,0,32768"
954)
955xt "47200,44000,51700,45000"
956st "
957%project_name
958"
959tm "CommentText"
960wrapOption 3
961visibleHeight 1000
962visibleWidth 16000
963)
964position 1
965ignorePrefs 1
966titleBlock 1
967)
968*28 (CommentText
969uid 169,0
970shape (Rectangle
971uid 170,0
972sl 0
973va (VaSet
974vasetType 1
975fg "65280,65280,46080"
976)
977xt "22000,44000,43000,46000"
978)
979oxt "14000,66000,35000,68000"
980text (MLText
981uid 171,0
982va (VaSet
983fg "32768,0,0"
984)
985xt "29150,44500,35850,45500"
986st "
987<company name>
988"
989ju 0
990tm "CommentText"
991wrapOption 3
992visibleHeight 2000
993visibleWidth 21000
994)
995position 1
996ignorePrefs 1
997titleBlock 1
998)
999*29 (CommentText
1000uid 172,0
1001shape (Rectangle
1002uid 173,0
1003sl 0
1004va (VaSet
1005vasetType 1
1006fg "65280,65280,46080"
1007)
1008xt "22000,47000,26000,48000"
1009)
1010oxt "14000,69000,18000,70000"
1011text (MLText
1012uid 174,0
1013va (VaSet
1014fg "0,0,32768"
1015bg "0,0,32768"
1016)
1017xt "22200,47000,24300,48000"
1018st "
1019Path:
1020"
1021tm "CommentText"
1022wrapOption 3
1023visibleHeight 1000
1024visibleWidth 4000
1025)
1026position 1
1027ignorePrefs 1
1028titleBlock 1
1029)
1030*30 (CommentText
1031uid 175,0
1032shape (Rectangle
1033uid 176,0
1034sl 0
1035va (VaSet
1036vasetType 1
1037fg "65280,65280,46080"
1038)
1039xt "22000,48000,26000,49000"
1040)
1041oxt "14000,70000,18000,71000"
1042text (MLText
1043uid 177,0
1044va (VaSet
1045fg "0,0,32768"
1046bg "0,0,32768"
1047)
1048xt "22200,48000,24900,49000"
1049st "
1050Edited:
1051"
1052tm "CommentText"
1053wrapOption 3
1054visibleHeight 1000
1055visibleWidth 4000
1056)
1057position 1
1058ignorePrefs 1
1059titleBlock 1
1060)
1061*31 (CommentText
1062uid 178,0
1063shape (Rectangle
1064uid 179,0
1065sl 0
1066va (VaSet
1067vasetType 1
1068fg "65280,65280,46080"
1069)
1070xt "26000,47000,43000,48000"
1071)
1072oxt "18000,69000,35000,70000"
1073text (MLText
1074uid 180,0
1075va (VaSet
1076fg "0,0,32768"
1077bg "0,0,32768"
1078)
1079xt "26200,47000,39200,48000"
1080st "
1081%library/%unit/%view
1082"
1083tm "CommentText"
1084wrapOption 3
1085visibleHeight 1000
1086visibleWidth 17000
1087)
1088position 1
1089ignorePrefs 1
1090titleBlock 1
1091)
1092]
1093shape (GroupingShape
1094uid 150,0
1095va (VaSet
1096vasetType 1
1097fg "65535,65535,65535"
1098lineStyle 2
1099lineWidth 2
1100)
1101xt "22000,44000,63000,49000"
1102)
1103oxt "14000,66000,55000,71000"
1104)
1105*32 (SaComponent
1106uid 308,0
1107optionalChildren [
1108*33 (CptPort
1109uid 297,0
1110ps "OnEdgeStrategy"
1111shape (Triangle
1112uid 298,0
1113ro 90
1114va (VaSet
1115vasetType 1
1116fg "0,65535,0"
1117)
1118xt "1000,11625,1750,12375"
1119)
1120tg (CPTG
1121uid 299,0
1122ps "CptPortTextPlaceStrategy"
1123stg "RightVerticalLayoutStrategy"
1124f (Text
1125uid 300,0
1126va (VaSet
1127)
1128xt "-1300,11500,0,12500"
1129st "clk"
1130ju 2
1131blo "0,12300"
1132)
1133)
1134thePort (LogicalPort
1135m 1
1136decl (Decl
1137n "clk"
1138t "std_logic"
1139preAdd 0
1140posAdd 0
1141o 1
1142suid 1,0
1143i "'0'"
1144)
1145)
1146)
1147*34 (CptPort
1148uid 301,0
1149ps "OnEdgeStrategy"
1150shape (Triangle
1151uid 302,0
1152ro 90
1153va (VaSet
1154vasetType 1
1155fg "0,65535,0"
1156)
1157xt "1000,12625,1750,13375"
1158)
1159tg (CPTG
1160uid 303,0
1161ps "CptPortTextPlaceStrategy"
1162stg "RightVerticalLayoutStrategy"
1163f (Text
1164uid 304,0
1165va (VaSet
1166)
1167xt "-1300,12500,0,13500"
1168st "rst"
1169ju 2
1170blo "0,13300"
1171)
1172)
1173thePort (LogicalPort
1174m 1
1175decl (Decl
1176n "rst"
1177t "std_logic"
1178preAdd 0
1179posAdd 0
1180o 2
1181suid 2,0
1182i "'0'"
1183)
1184)
1185)
1186]
1187shape (Rectangle
1188uid 309,0
1189va (VaSet
1190vasetType 1
1191fg "0,49152,49152"
1192lineColor "0,0,50000"
1193lineWidth 2
1194)
1195xt "-7000,11000,1000,15000"
1196)
1197oxt "22000,15000,30000,19000"
1198ttg (MlTextGroup
1199uid 310,0
1200ps "CenterOffsetStrategy"
1201stg "VerticalLayoutStrategy"
1202textVec [
1203*35 (Text
1204uid 311,0
1205va (VaSet
1206font "Arial,8,1"
1207)
1208xt "-6850,15000,850,16000"
1209st "FACT_FAD_TB_lib"
1210blo "-6850,15800"
1211tm "BdLibraryNameMgr"
1212)
1213*36 (Text
1214uid 312,0
1215va (VaSet
1216font "Arial,8,1"
1217)
1218xt "-6850,16000,-150,17000"
1219st "clock_generator"
1220blo "-6850,16800"
1221tm "CptNameMgr"
1222)
1223*37 (Text
1224uid 313,0
1225va (VaSet
1226font "Arial,8,1"
1227)
1228xt "-6850,17000,-5050,18000"
1229st "U_2"
1230blo "-6850,17800"
1231tm "InstanceNameMgr"
1232)
1233]
1234)
1235ga (GenericAssociation
1236uid 314,0
1237ps "EdgeToEdgeStrategy"
1238matrix (Matrix
1239uid 315,0
1240text (MLText
1241uid 316,0
1242va (VaSet
1243font "Courier New,8,0"
1244)
1245xt "-7000,9400,11500,11000"
1246st "clock_period = 20 ns ( time )
1247reset_time = 50 ns ( time ) "
1248)
1249header ""
1250)
1251elements [
1252(GiElement
1253name "clock_period"
1254type "time"
1255value "20 ns"
1256)
1257(GiElement
1258name "reset_time"
1259type "time"
1260value "50 ns"
1261)
1262]
1263)
1264viewicon (ZoomableIcon
1265uid 317,0
1266sl 0
1267va (VaSet
1268vasetType 1
1269fg "49152,49152,49152"
1270)
1271xt "-6750,13250,-5250,14750"
1272iconName "VhdlFileViewIcon.png"
1273iconMaskName "VhdlFileViewIcon.msk"
1274ftype 10
1275)
1276ordering 1
1277viewiconposition 0
1278portVis (PortSigDisplay
1279)
1280archFileType "UNKNOWN"
1281)
1282*38 (Wire
1283uid 45,0
1284shape (OrthoPolyLine
1285uid 46,0
1286va (VaSet
1287vasetType 3
1288lineWidth 2
1289)
1290xt "25750,27000,34000,27000"
1291pts [
1292"25750,27000"
1293"34000,27000"
1294]
1295)
1296start &5
1297sat 32
1298eat 16
1299sty 1
1300st 0
1301sf 1
1302si 0
1303tg (WTG
1304uid 49,0
1305ps "ConnStartEndStrategy"
1306stg "STSignalDisplayStrategy"
1307f (Text
1308uid 50,0
1309va (VaSet
1310)
1311xt "27000,26000,33400,27000"
1312st "remainder : (2:0)"
1313blo "27000,26800"
1314tm "WireNameMgr"
1315)
1316)
1317on &11
1318)
1319*39 (Wire
1320uid 53,0
1321shape (OrthoPolyLine
1322uid 54,0
1323va (VaSet
1324vasetType 3
1325)
1326xt "25750,28000,34000,28000"
1327pts [
1328"25750,28000"
1329"34000,28000"
1330]
1331)
1332start &6
1333sat 32
1334eat 16
1335st 0
1336sf 1
1337si 0
1338tg (WTG
1339uid 57,0
1340ps "ConnStartEndStrategy"
1341stg "STSignalDisplayStrategy"
1342f (Text
1343uid 58,0
1344va (VaSet
1345)
1346xt "27000,27000,29700,28000"
1347st "started"
1348blo "27000,27800"
1349tm "WireNameMgr"
1350)
1351)
1352on &12
1353)
1354*40 (Wire
1355uid 61,0
1356shape (OrthoPolyLine
1357uid 62,0
1358va (VaSet
1359vasetType 3
1360)
1361xt "25750,29000,34000,29000"
1362pts [
1363"25750,29000"
1364"34000,29000"
1365]
1366)
1367start &7
1368sat 32
1369eat 16
1370st 0
1371sf 1
1372si 0
1373tg (WTG
1374uid 65,0
1375ps "ConnStartEndStrategy"
1376stg "STSignalDisplayStrategy"
1377f (Text
1378uid 66,0
1379va (VaSet
1380)
1381xt "27000,28000,28900,29000"
1382st "valid"
1383blo "27000,28800"
1384tm "WireNameMgr"
1385)
1386)
1387on &13
1388)
1389*41 (Wire
1390uid 69,0
1391shape (OrthoPolyLine
1392uid 70,0
1393va (VaSet
1394vasetType 3
1395)
1396xt "1750,12000,9250,27000"
1397pts [
1398"1750,12000"
1399"6000,12000"
1400"6000,27000"
1401"9250,27000"
1402]
1403)
1404start &33
1405end &2
1406ss 0
1407sat 32
1408eat 32
1409st 0
1410sf 1
1411si 0
1412tg (WTG
1413uid 73,0
1414ps "ConnStartEndStrategy"
1415stg "STSignalDisplayStrategy"
1416f (Text
1417uid 74,0
1418va (VaSet
1419)
1420xt "3000,11000,4300,12000"
1421st "clk"
1422blo "3000,11800"
1423tm "WireNameMgr"
1424)
1425)
1426on &14
1427)
1428*42 (Wire
1429uid 77,0
1430shape (OrthoPolyLine
1431uid 78,0
1432va (VaSet
1433vasetType 3
1434lineWidth 2
1435)
1436xt "1000,28000,9250,28000"
1437pts [
1438"1000,28000"
1439"9250,28000"
1440]
1441)
1442end &3
1443sat 16
1444eat 32
1445sty 1
1446st 0
1447sf 1
1448si 0
1449tg (WTG
1450uid 81,0
1451ps "ConnStartEndStrategy"
1452stg "STSignalDisplayStrategy"
1453f (Text
1454uid 82,0
1455va (VaSet
1456)
1457xt "2000,27000,7900,28000"
1458st "number : (31:0)"
1459blo "2000,27800"
1460tm "WireNameMgr"
1461)
1462)
1463on &15
1464)
1465*43 (Wire
1466uid 85,0
1467shape (OrthoPolyLine
1468uid 86,0
1469va (VaSet
1470vasetType 3
1471)
1472xt "1000,29000,9250,29000"
1473pts [
1474"1000,29000"
1475"9250,29000"
1476]
1477)
1478end &4
1479sat 16
1480eat 32
1481st 0
1482sf 1
1483si 0
1484tg (WTG
1485uid 89,0
1486ps "ConnStartEndStrategy"
1487stg "STSignalDisplayStrategy"
1488f (Text
1489uid 90,0
1490va (VaSet
1491)
1492xt "2000,28000,3900,29000"
1493st "start"
1494blo "2000,28800"
1495tm "WireNameMgr"
1496)
1497)
1498on &16
1499)
1500*44 (Wire
1501uid 101,0
1502shape (OrthoPolyLine
1503uid 102,0
1504va (VaSet
1505vasetType 3
1506)
1507xt "28000,13000,37000,13000"
1508pts [
1509"28000,13000"
1510"37000,13000"
1511]
1512)
1513end &17
1514sat 16
1515eat 2
1516st 0
1517sf 1
1518si 0
1519tg (WTG
1520uid 107,0
1521ps "ConnStartEndStrategy"
1522stg "STSignalDisplayStrategy"
1523f (Text
1524uid 108,0
1525va (VaSet
1526)
1527xt "29000,12000,30900,13000"
1528st "start"
1529blo "29000,12800"
1530tm "WireNameMgr"
1531)
1532)
1533on &16
1534)
1535*45 (Wire
1536uid 117,0
1537shape (OrthoPolyLine
1538uid 118,0
1539va (VaSet
1540vasetType 3
1541)
1542xt "52000,12000,61000,12000"
1543pts [
1544"52000,12000"
1545"61000,12000"
1546]
1547)
1548start &17
1549sat 1
1550eat 16
1551st 0
1552sf 1
1553si 0
1554tg (WTG
1555uid 123,0
1556ps "ConnStartEndStrategy"
1557stg "STSignalDisplayStrategy"
1558f (Text
1559uid 124,0
1560va (VaSet
1561)
1562xt "53000,11000,55700,12000"
1563st "started"
1564blo "53000,11800"
1565tm "WireNameMgr"
1566)
1567)
1568on &12
1569)
1570*46 (Wire
1571uid 125,0
1572shape (OrthoPolyLine
1573uid 126,0
1574va (VaSet
1575vasetType 3
1576lineWidth 2
1577)
1578xt "52000,11000,61000,11000"
1579pts [
1580"52000,11000"
1581"61000,11000"
1582]
1583)
1584start &17
1585sat 1
1586eat 16
1587sty 1
1588st 0
1589sf 1
1590si 0
1591tg (WTG
1592uid 131,0
1593ps "ConnStartEndStrategy"
1594stg "STSignalDisplayStrategy"
1595f (Text
1596uid 132,0
1597va (VaSet
1598)
1599xt "54000,10000,60400,11000"
1600st "remainder : (2:0)"
1601blo "54000,10800"
1602tm "WireNameMgr"
1603)
1604)
1605on &11
1606)
1607*47 (Wire
1608uid 133,0
1609shape (OrthoPolyLine
1610uid 134,0
1611va (VaSet
1612vasetType 3
1613)
1614xt "52000,13000,61000,13000"
1615pts [
1616"52000,13000"
1617"61000,13000"
1618]
1619)
1620start &17
1621sat 1
1622eat 16
1623st 0
1624sf 1
1625si 0
1626tg (WTG
1627uid 139,0
1628ps "ConnStartEndStrategy"
1629stg "STSignalDisplayStrategy"
1630f (Text
1631uid 140,0
1632va (VaSet
1633)
1634xt "53000,12000,54900,13000"
1635st "valid"
1636blo "53000,12800"
1637tm "WireNameMgr"
1638)
1639)
1640on &13
1641)
1642*48 (Wire
1643uid 141,0
1644shape (OrthoPolyLine
1645uid 142,0
1646va (VaSet
1647vasetType 3
1648lineWidth 2
1649)
1650xt "28000,12000,37000,12000"
1651pts [
1652"28000,12000"
1653"37000,12000"
1654]
1655)
1656end &17
1657sat 16
1658eat 2
1659sty 1
1660st 0
1661sf 1
1662si 0
1663tg (WTG
1664uid 147,0
1665ps "ConnStartEndStrategy"
1666stg "STSignalDisplayStrategy"
1667f (Text
1668uid 148,0
1669va (VaSet
1670)
1671xt "29000,11000,34900,12000"
1672st "number : (31:0)"
1673blo "29000,11800"
1674tm "WireNameMgr"
1675)
1676)
1677on &15
1678)
1679]
1680bg "65535,65535,65535"
1681grid (Grid
1682origin "0,0"
1683isVisible 1
1684isActive 1
1685xSpacing 1000
1686xySpacing 1000
1687xShown 1
1688yShown 1
1689color "26368,26368,26368"
1690)
1691packageList *49 (PackageList
1692uid 193,0
1693stg "VerticalLayoutStrategy"
1694textVec [
1695*50 (Text
1696uid 194,0
1697va (VaSet
1698font "arial,8,1"
1699)
1700xt "0,0,5400,1000"
1701st "Package List"
1702blo "0,800"
1703)
1704*51 (MLText
1705uid 195,0
1706va (VaSet
1707)
1708xt "0,1000,12900,5000"
1709st "LIBRARY ieee;
1710USE ieee.std_logic_1164.ALL;
1711USE ieee.std_logic_unsigned.ALL;
1712USE ieee.std_logic_arith.ALL;"
1713tm "PackageList"
1714)
1715]
1716)
1717compDirBlock (MlTextGroup
1718uid 196,0
1719stg "VerticalLayoutStrategy"
1720textVec [
1721*52 (Text
1722uid 197,0
1723va (VaSet
1724isHidden 1
1725font "Arial,8,1"
1726)
1727xt "20000,0,28100,1000"
1728st "Compiler Directives"
1729blo "20000,800"
1730)
1731*53 (Text
1732uid 198,0
1733va (VaSet
1734isHidden 1
1735font "Arial,8,1"
1736)
1737xt "20000,1000,29600,2000"
1738st "Pre-module directives:"
1739blo "20000,1800"
1740)
1741*54 (MLText
1742uid 199,0
1743va (VaSet
1744isHidden 1
1745)
1746xt "20000,2000,27500,4000"
1747st "`resetall
1748`timescale 1ns/10ps"
1749tm "BdCompilerDirectivesTextMgr"
1750)
1751*55 (Text
1752uid 200,0
1753va (VaSet
1754isHidden 1
1755font "Arial,8,1"
1756)
1757xt "20000,4000,30100,5000"
1758st "Post-module directives:"
1759blo "20000,4800"
1760)
1761*56 (MLText
1762uid 201,0
1763va (VaSet
1764isHidden 1
1765)
1766xt "20000,0,20000,0"
1767tm "BdCompilerDirectivesTextMgr"
1768)
1769*57 (Text
1770uid 202,0
1771va (VaSet
1772isHidden 1
1773font "Arial,8,1"
1774)
1775xt "20000,5000,29900,6000"
1776st "End-module directives:"
1777blo "20000,5800"
1778)
1779*58 (MLText
1780uid 203,0
1781va (VaSet
1782isHidden 1
1783)
1784xt "20000,6000,20000,6000"
1785tm "BdCompilerDirectivesTextMgr"
1786)
1787]
1788associable 1
1789)
1790windowSize "-4,-4,1284,998"
1791viewArea "-26768,-11959,50128,49457"
1792cachedDiagramExtent "-7000,0,63000,49000"
1793hasePageBreakOrigin 1
1794pageBreakOrigin "-10000,0"
1795lastUid 370,0
1796defaultCommentText (CommentText
1797shape (Rectangle
1798layer 0
1799va (VaSet
1800vasetType 1
1801fg "65280,65280,46080"
1802lineColor "0,0,32768"
1803)
1804xt "0,0,15000,5000"
1805)
1806text (MLText
1807va (VaSet
1808fg "0,0,32768"
1809)
1810xt "200,200,2000,1200"
1811st "
1812Text
1813"
1814tm "CommentText"
1815wrapOption 3
1816visibleHeight 4600
1817visibleWidth 14600
1818)
1819)
1820defaultPanel (Panel
1821shape (RectFrame
1822va (VaSet
1823vasetType 1
1824fg "65535,65535,65535"
1825lineColor "32768,0,0"
1826lineWidth 3
1827)
1828xt "0,0,20000,20000"
1829)
1830title (TextAssociate
1831ps "TopLeftStrategy"
1832text (Text
1833va (VaSet
1834font "Arial,8,1"
1835)
1836xt "1000,1000,3800,2000"
1837st "Panel0"
1838blo "1000,1800"
1839tm "PanelText"
1840)
1841)
1842)
1843defaultBlk (Blk
1844shape (Rectangle
1845va (VaSet
1846vasetType 1
1847fg "39936,56832,65280"
1848lineColor "0,0,32768"
1849lineWidth 2
1850)
1851xt "0,0,8000,10000"
1852)
1853ttg (MlTextGroup
1854ps "CenterOffsetStrategy"
1855stg "VerticalLayoutStrategy"
1856textVec [
1857*59 (Text
1858va (VaSet
1859font "Arial,8,1"
1860)
1861xt "2200,3500,5800,4500"
1862st "<library>"
1863blo "2200,4300"
1864tm "BdLibraryNameMgr"
1865)
1866*60 (Text
1867va (VaSet
1868font "Arial,8,1"
1869)
1870xt "2200,4500,5600,5500"
1871st "<block>"
1872blo "2200,5300"
1873tm "BlkNameMgr"
1874)
1875*61 (Text
1876va (VaSet
1877font "Arial,8,1"
1878)
1879xt "2200,5500,4000,6500"
1880st "U_0"
1881blo "2200,6300"
1882tm "InstanceNameMgr"
1883)
1884]
1885)
1886ga (GenericAssociation
1887ps "EdgeToEdgeStrategy"
1888matrix (Matrix
1889text (MLText
1890va (VaSet
1891font "Courier New,8,0"
1892)
1893xt "2200,13500,2200,13500"
1894)
1895header ""
1896)
1897elements [
1898]
1899)
1900viewicon (ZoomableIcon
1901sl 0
1902va (VaSet
1903vasetType 1
1904fg "49152,49152,49152"
1905)
1906xt "0,0,1500,1500"
1907iconName "UnknownFile.png"
1908iconMaskName "UnknownFile.msk"
1909)
1910viewiconposition 0
1911)
1912defaultMWComponent (MWC
1913shape (Rectangle
1914va (VaSet
1915vasetType 1
1916fg "0,65535,0"
1917lineColor "0,32896,0"
1918lineWidth 2
1919)
1920xt "0,0,8000,10000"
1921)
1922ttg (MlTextGroup
1923ps "CenterOffsetStrategy"
1924stg "VerticalLayoutStrategy"
1925textVec [
1926*62 (Text
1927va (VaSet
1928font "Arial,8,1"
1929)
1930xt "550,3500,3450,4500"
1931st "Library"
1932blo "550,4300"
1933)
1934*63 (Text
1935va (VaSet
1936font "Arial,8,1"
1937)
1938xt "550,4500,7450,5500"
1939st "MWComponent"
1940blo "550,5300"
1941)
1942*64 (Text
1943va (VaSet
1944font "Arial,8,1"
1945)
1946xt "550,5500,2350,6500"
1947st "U_0"
1948blo "550,6300"
1949tm "InstanceNameMgr"
1950)
1951]
1952)
1953ga (GenericAssociation
1954ps "EdgeToEdgeStrategy"
1955matrix (Matrix
1956text (MLText
1957va (VaSet
1958font "Courier New,8,0"
1959)
1960xt "-6450,1500,-6450,1500"
1961)
1962header ""
1963)
1964elements [
1965]
1966)
1967portVis (PortSigDisplay
1968)
1969prms (Property
1970pclass "params"
1971pname "params"
1972ptn "String"
1973)
1974visOptions (mwParamsVisibilityOptions
1975)
1976)
1977defaultSaComponent (SaComponent
1978shape (Rectangle
1979va (VaSet
1980vasetType 1
1981fg "0,65535,0"
1982lineColor "0,32896,0"
1983lineWidth 2
1984)
1985xt "0,0,8000,10000"
1986)
1987ttg (MlTextGroup
1988ps "CenterOffsetStrategy"
1989stg "VerticalLayoutStrategy"
1990textVec [
1991*65 (Text
1992va (VaSet
1993font "Arial,8,1"
1994)
1995xt "900,3500,3800,4500"
1996st "Library"
1997blo "900,4300"
1998tm "BdLibraryNameMgr"
1999)
2000*66 (Text
2001va (VaSet
2002font "Arial,8,1"
2003)
2004xt "900,4500,7100,5500"
2005st "SaComponent"
2006blo "900,5300"
2007tm "CptNameMgr"
2008)
2009*67 (Text
2010va (VaSet
2011font "Arial,8,1"
2012)
2013xt "900,5500,2700,6500"
2014st "U_0"
2015blo "900,6300"
2016tm "InstanceNameMgr"
2017)
2018]
2019)
2020ga (GenericAssociation
2021ps "EdgeToEdgeStrategy"
2022matrix (Matrix
2023text (MLText
2024va (VaSet
2025font "Courier New,8,0"
2026)
2027xt "-6100,1500,-6100,1500"
2028)
2029header ""
2030)
2031elements [
2032]
2033)
2034viewicon (ZoomableIcon
2035sl 0
2036va (VaSet
2037vasetType 1
2038fg "49152,49152,49152"
2039)
2040xt "0,0,1500,1500"
2041iconName "UnknownFile.png"
2042iconMaskName "UnknownFile.msk"
2043)
2044viewiconposition 0
2045portVis (PortSigDisplay
2046)
2047archFileType "UNKNOWN"
2048)
2049defaultVhdlComponent (VhdlComponent
2050shape (Rectangle
2051va (VaSet
2052vasetType 1
2053fg "0,65535,0"
2054lineColor "0,32896,0"
2055lineWidth 2
2056)
2057xt "0,0,8000,10000"
2058)
2059ttg (MlTextGroup
2060ps "CenterOffsetStrategy"
2061stg "VerticalLayoutStrategy"
2062textVec [
2063*68 (Text
2064va (VaSet
2065font "Arial,8,1"
2066)
2067xt "500,3500,3400,4500"
2068st "Library"
2069blo "500,4300"
2070)
2071*69 (Text
2072va (VaSet
2073font "Arial,8,1"
2074)
2075xt "500,4500,7500,5500"
2076st "VhdlComponent"
2077blo "500,5300"
2078)
2079*70 (Text
2080va (VaSet
2081font "Arial,8,1"
2082)
2083xt "500,5500,2300,6500"
2084st "U_0"
2085blo "500,6300"
2086tm "InstanceNameMgr"
2087)
2088]
2089)
2090ga (GenericAssociation
2091ps "EdgeToEdgeStrategy"
2092matrix (Matrix
2093text (MLText
2094va (VaSet
2095font "Courier New,8,0"
2096)
2097xt "-6500,1500,-6500,1500"
2098)
2099header ""
2100)
2101elements [
2102]
2103)
2104portVis (PortSigDisplay
2105)
2106entityPath ""
2107archName ""
2108archPath ""
2109)
2110defaultVerilogComponent (VerilogComponent
2111shape (Rectangle
2112va (VaSet
2113vasetType 1
2114fg "0,65535,0"
2115lineColor "0,32896,0"
2116lineWidth 2
2117)
2118xt "-450,0,8450,10000"
2119)
2120ttg (MlTextGroup
2121ps "CenterOffsetStrategy"
2122stg "VerticalLayoutStrategy"
2123textVec [
2124*71 (Text
2125va (VaSet
2126font "Arial,8,1"
2127)
2128xt "50,3500,2950,4500"
2129st "Library"
2130blo "50,4300"
2131)
2132*72 (Text
2133va (VaSet
2134font "Arial,8,1"
2135)
2136xt "50,4500,7950,5500"
2137st "VerilogComponent"
2138blo "50,5300"
2139)
2140*73 (Text
2141va (VaSet
2142font "Arial,8,1"
2143)
2144xt "50,5500,1850,6500"
2145st "U_0"
2146blo "50,6300"
2147tm "InstanceNameMgr"
2148)
2149]
2150)
2151ga (GenericAssociation
2152ps "EdgeToEdgeStrategy"
2153matrix (Matrix
2154text (MLText
2155va (VaSet
2156font "Courier New,8,0"
2157)
2158xt "-6950,1500,-6950,1500"
2159)
2160header ""
2161)
2162elements [
2163]
2164)
2165entityPath ""
2166)
2167defaultHdlText (HdlText
2168shape (Rectangle
2169va (VaSet
2170vasetType 1
2171fg "65535,65535,37120"
2172lineColor "0,0,32768"
2173lineWidth 2
2174)
2175xt "0,0,8000,10000"
2176)
2177ttg (MlTextGroup
2178ps "CenterOffsetStrategy"
2179stg "VerticalLayoutStrategy"
2180textVec [
2181*74 (Text
2182va (VaSet
2183font "Arial,8,1"
2184)
2185xt "3150,4000,4850,5000"
2186st "eb1"
2187blo "3150,4800"
2188tm "HdlTextNameMgr"
2189)
2190*75 (Text
2191va (VaSet
2192font "Arial,8,1"
2193)
2194xt "3150,5000,3950,6000"
2195st "1"
2196blo "3150,5800"
2197tm "HdlTextNumberMgr"
2198)
2199]
2200)
2201viewicon (ZoomableIcon
2202sl 0
2203va (VaSet
2204vasetType 1
2205fg "49152,49152,49152"
2206)
2207xt "0,0,1500,1500"
2208iconName "UnknownFile.png"
2209iconMaskName "UnknownFile.msk"
2210)
2211viewiconposition 0
2212)
2213defaultEmbeddedText (EmbeddedText
2214commentText (CommentText
2215ps "CenterOffsetStrategy"
2216shape (Rectangle
2217va (VaSet
2218vasetType 1
2219fg "65535,65535,65535"
2220lineColor "0,0,32768"
2221lineWidth 2
2222)
2223xt "0,0,18000,5000"
2224)
2225text (MLText
2226va (VaSet
2227)
2228xt "200,200,2000,1200"
2229st "
2230Text
2231"
2232tm "HdlTextMgr"
2233wrapOption 3
2234visibleHeight 4600
2235visibleWidth 17600
2236)
2237)
2238)
2239defaultGlobalConnector (GlobalConnector
2240shape (Circle
2241va (VaSet
2242vasetType 1
2243fg "65535,65535,0"
2244)
2245xt "-1000,-1000,1000,1000"
2246radius 1000
2247)
2248name (Text
2249va (VaSet
2250font "Arial,8,1"
2251)
2252xt "-500,-500,500,500"
2253st "G"
2254blo "-500,300"
2255)
2256)
2257defaultRipper (Ripper
2258ps "OnConnectorStrategy"
2259shape (Line2D
2260pts [
2261"0,0"
2262"1000,1000"
2263]
2264va (VaSet
2265vasetType 1
2266)
2267xt "0,0,1000,1000"
2268)
2269)
2270defaultBdJunction (BdJunction
2271ps "OnConnectorStrategy"
2272shape (Circle
2273va (VaSet
2274vasetType 1
2275)
2276xt "-400,-400,400,400"
2277radius 400
2278)
2279)
2280defaultPortIoIn (PortIoIn
2281shape (CompositeShape
2282va (VaSet
2283vasetType 1
2284fg "0,0,32768"
2285)
2286optionalChildren [
2287(Pentagon
2288sl 0
2289ro 270
2290xt "-2000,-375,-500,375"
2291)
2292(Line
2293sl 0
2294ro 270
2295xt "-500,0,0,0"
2296pts [
2297"-500,0"
2298"0,0"
2299]
2300)
2301]
2302)
2303stc 0
2304sf 1
2305tg (WTG
2306ps "PortIoTextPlaceStrategy"
2307stg "STSignalDisplayStrategy"
2308f (Text
2309va (VaSet
2310)
2311xt "-1375,-1000,-1375,-1000"
2312ju 2
2313blo "-1375,-1000"
2314tm "WireNameMgr"
2315)
2316)
2317)
2318defaultPortIoOut (PortIoOut
2319shape (CompositeShape
2320va (VaSet
2321vasetType 1
2322fg "0,0,32768"
2323)
2324optionalChildren [
2325(Pentagon
2326sl 0
2327ro 270
2328xt "500,-375,2000,375"
2329)
2330(Line
2331sl 0
2332ro 270
2333xt "0,0,500,0"
2334pts [
2335"0,0"
2336"500,0"
2337]
2338)
2339]
2340)
2341stc 0
2342sf 1
2343tg (WTG
2344ps "PortIoTextPlaceStrategy"
2345stg "STSignalDisplayStrategy"
2346f (Text
2347va (VaSet
2348)
2349xt "625,-1000,625,-1000"
2350blo "625,-1000"
2351tm "WireNameMgr"
2352)
2353)
2354)
2355defaultPortIoInOut (PortIoInOut
2356shape (CompositeShape
2357va (VaSet
2358vasetType 1
2359fg "0,0,32768"
2360)
2361optionalChildren [
2362(Hexagon
2363sl 0
2364xt "500,-375,2000,375"
2365)
2366(Line
2367sl 0
2368xt "0,0,500,0"
2369pts [
2370"0,0"
2371"500,0"
2372]
2373)
2374]
2375)
2376stc 0
2377sf 1
2378tg (WTG
2379ps "PortIoTextPlaceStrategy"
2380stg "STSignalDisplayStrategy"
2381f (Text
2382va (VaSet
2383)
2384xt "0,-375,0,-375"
2385blo "0,-375"
2386tm "WireNameMgr"
2387)
2388)
2389)
2390defaultPortIoBuffer (PortIoBuffer
2391shape (CompositeShape
2392va (VaSet
2393vasetType 1
2394fg "65535,65535,65535"
2395lineColor "0,0,32768"
2396)
2397optionalChildren [
2398(Hexagon
2399sl 0
2400xt "500,-375,2000,375"
2401)
2402(Line
2403sl 0
2404xt "0,0,500,0"
2405pts [
2406"0,0"
2407"500,0"
2408]
2409)
2410]
2411)
2412stc 0
2413sf 1
2414tg (WTG
2415ps "PortIoTextPlaceStrategy"
2416stg "STSignalDisplayStrategy"
2417f (Text
2418va (VaSet
2419)
2420xt "0,-375,0,-375"
2421blo "0,-375"
2422tm "WireNameMgr"
2423)
2424)
2425)
2426defaultSignal (Wire
2427shape (OrthoPolyLine
2428va (VaSet
2429vasetType 3
2430)
2431pts [
2432"0,0"
2433"0,0"
2434]
2435)
2436ss 0
2437es 0
2438sat 32
2439eat 32
2440st 0
2441sf 1
2442si 0
2443tg (WTG
2444ps "ConnStartEndStrategy"
2445stg "STSignalDisplayStrategy"
2446f (Text
2447va (VaSet
2448)
2449xt "0,0,1900,1000"
2450st "sig0"
2451blo "0,800"
2452tm "WireNameMgr"
2453)
2454)
2455)
2456defaultBus (Wire
2457shape (OrthoPolyLine
2458va (VaSet
2459vasetType 3
2460lineWidth 2
2461)
2462pts [
2463"0,0"
2464"0,0"
2465]
2466)
2467ss 0
2468es 0
2469sat 32
2470eat 32
2471sty 1
2472st 0
2473sf 1
2474si 0
2475tg (WTG
2476ps "ConnStartEndStrategy"
2477stg "STSignalDisplayStrategy"
2478f (Text
2479va (VaSet
2480)
2481xt "0,0,2400,1000"
2482st "dbus0"
2483blo "0,800"
2484tm "WireNameMgr"
2485)
2486)
2487)
2488defaultBundle (Bundle
2489shape (OrthoPolyLine
2490va (VaSet
2491vasetType 3
2492lineColor "32768,0,0"
2493lineWidth 2
2494)
2495pts [
2496"0,0"
2497"0,0"
2498]
2499)
2500ss 0
2501es 0
2502sat 32
2503eat 32
2504textGroup (BiTextGroup
2505ps "ConnStartEndStrategy"
2506stg "VerticalLayoutStrategy"
2507first (Text
2508va (VaSet
2509)
2510xt "0,0,3000,1000"
2511st "bundle0"
2512blo "0,800"
2513tm "BundleNameMgr"
2514)
2515second (MLText
2516va (VaSet
2517)
2518xt "0,1000,1000,2000"
2519st "()"
2520tm "BundleContentsMgr"
2521)
2522)
2523bundleNet &0
2524)
2525defaultPortMapFrame (PortMapFrame
2526ps "PortMapFrameStrategy"
2527shape (RectFrame
2528va (VaSet
2529vasetType 1
2530fg "65535,65535,65535"
2531lineColor "0,0,32768"
2532lineWidth 2
2533)
2534xt "0,0,10000,12000"
2535)
2536portMapText (BiTextGroup
2537ps "BottomRightOffsetStrategy"
2538stg "VerticalLayoutStrategy"
2539first (MLText
2540va (VaSet
2541)
2542)
2543second (MLText
2544va (VaSet
2545)
2546tm "PortMapTextMgr"
2547)
2548)
2549)
2550defaultGenFrame (Frame
2551shape (RectFrame
2552va (VaSet
2553vasetType 1
2554fg "65535,65535,65535"
2555lineColor "26368,26368,26368"
2556lineStyle 2
2557lineWidth 3
2558)
2559xt "0,0,20000,20000"
2560)
2561title (TextAssociate
2562ps "TopLeftStrategy"
2563text (MLText
2564va (VaSet
2565)
2566xt "0,-1100,12600,-100"
2567st "g0: FOR i IN 0 TO n GENERATE"
2568tm "FrameTitleTextMgr"
2569)
2570)
2571seqNum (FrameSequenceNumber
2572ps "TopLeftStrategy"
2573shape (Rectangle
2574va (VaSet
2575vasetType 1
2576fg "65535,65535,65535"
2577)
2578xt "50,50,1250,1450"
2579)
2580num (Text
2581va (VaSet
2582)
2583xt "250,250,1050,1250"
2584st "1"
2585blo "250,1050"
2586tm "FrameSeqNumMgr"
2587)
2588)
2589decls (MlTextGroup
2590ps "BottomRightOffsetStrategy"
2591stg "VerticalLayoutStrategy"
2592textVec [
2593*76 (Text
2594va (VaSet
2595font "Arial,8,1"
2596)
2597xt "14100,20000,22000,21000"
2598st "Frame Declarations"
2599blo "14100,20800"
2600)
2601*77 (MLText
2602va (VaSet
2603)
2604xt "14100,21000,14100,21000"
2605tm "BdFrameDeclTextMgr"
2606)
2607]
2608)
2609)
2610defaultBlockFrame (Frame
2611shape (RectFrame
2612va (VaSet
2613vasetType 1
2614fg "65535,65535,65535"
2615lineColor "26368,26368,26368"
2616lineStyle 1
2617lineWidth 3
2618)
2619xt "0,0,20000,20000"
2620)
2621title (TextAssociate
2622ps "TopLeftStrategy"
2623text (MLText
2624va (VaSet
2625)
2626xt "0,-1100,7400,-100"
2627st "b0: BLOCK (guard)"
2628tm "FrameTitleTextMgr"
2629)
2630)
2631seqNum (FrameSequenceNumber
2632ps "TopLeftStrategy"
2633shape (Rectangle
2634va (VaSet
2635vasetType 1
2636fg "65535,65535,65535"
2637)
2638xt "50,50,1250,1450"
2639)
2640num (Text
2641va (VaSet
2642)
2643xt "250,250,1050,1250"
2644st "1"
2645blo "250,1050"
2646tm "FrameSeqNumMgr"
2647)
2648)
2649decls (MlTextGroup
2650ps "BottomRightOffsetStrategy"
2651stg "VerticalLayoutStrategy"
2652textVec [
2653*78 (Text
2654va (VaSet
2655font "Arial,8,1"
2656)
2657xt "14100,20000,22000,21000"
2658st "Frame Declarations"
2659blo "14100,20800"
2660)
2661*79 (MLText
2662va (VaSet
2663)
2664xt "14100,21000,14100,21000"
2665tm "BdFrameDeclTextMgr"
2666)
2667]
2668)
2669style 3
2670)
2671defaultSaCptPort (CptPort
2672ps "OnEdgeStrategy"
2673shape (Triangle
2674ro 90
2675va (VaSet
2676vasetType 1
2677fg "0,65535,0"
2678)
2679xt "0,0,750,750"
2680)
2681tg (CPTG
2682ps "CptPortTextPlaceStrategy"
2683stg "VerticalLayoutStrategy"
2684f (Text
2685va (VaSet
2686)
2687xt "0,750,1800,1750"
2688st "Port"
2689blo "0,1550"
2690)
2691)
2692thePort (LogicalPort
2693decl (Decl
2694n "Port"
2695t ""
2696o 0
2697)
2698)
2699)
2700defaultSaCptPortBuffer (CptPort
2701ps "OnEdgeStrategy"
2702shape (Diamond
2703va (VaSet
2704vasetType 1
2705fg "65535,65535,65535"
2706)
2707xt "0,0,750,750"
2708)
2709tg (CPTG
2710ps "CptPortTextPlaceStrategy"
2711stg "VerticalLayoutStrategy"
2712f (Text
2713va (VaSet
2714)
2715xt "0,750,1800,1750"
2716st "Port"
2717blo "0,1550"
2718)
2719)
2720thePort (LogicalPort
2721m 3
2722decl (Decl
2723n "Port"
2724t ""
2725o 0
2726)
2727)
2728)
2729defaultDeclText (MLText
2730va (VaSet
2731font "Courier New,8,0"
2732)
2733)
2734archDeclarativeBlock (BdArchDeclBlock
2735uid 1,0
2736stg "BdArchDeclBlockLS"
2737declLabel (Text
2738uid 2,0
2739va (VaSet
2740font "Arial,8,1"
2741)
2742xt "20000,0,25400,1000"
2743st "Declarations"
2744blo "20000,800"
2745)
2746portLabel (Text
2747uid 3,0
2748va (VaSet
2749font "Arial,8,1"
2750)
2751xt "20000,1000,22700,2000"
2752st "Ports:"
2753blo "20000,1800"
2754)
2755preUserLabel (Text
2756uid 4,0
2757va (VaSet
2758isHidden 1
2759font "Arial,8,1"
2760)
2761xt "20000,0,23800,1000"
2762st "Pre User:"
2763blo "20000,800"
2764)
2765preUserText (MLText
2766uid 5,0
2767va (VaSet
2768isHidden 1
2769font "Courier New,8,0"
2770)
2771xt "20000,0,20000,0"
2772tm "BdDeclarativeTextMgr"
2773)
2774diagSignalLabel (Text
2775uid 6,0
2776va (VaSet
2777font "Arial,8,1"
2778)
2779xt "20000,2000,27100,3000"
2780st "Diagram Signals:"
2781blo "20000,2800"
2782)
2783postUserLabel (Text
2784uid 7,0
2785va (VaSet
2786isHidden 1
2787font "Arial,8,1"
2788)
2789xt "20000,0,24700,1000"
2790st "Post User:"
2791blo "20000,800"
2792)
2793postUserText (MLText
2794uid 8,0
2795va (VaSet
2796isHidden 1
2797font "Courier New,8,0"
2798)
2799xt "20000,0,20000,0"
2800tm "BdDeclarativeTextMgr"
2801)
2802)
2803commonDM (CommonDM
2804ldm (LogicalDM
2805suid 6,0
2806usingSuid 1
2807emptyRow *80 (LEmptyRow
2808)
2809uid 206,0
2810optionalChildren [
2811*81 (RefLabelRowHdr
2812)
2813*82 (TitleRowHdr
2814)
2815*83 (FilterRowHdr
2816)
2817*84 (RefLabelColHdr
2818tm "RefLabelColHdrMgr"
2819)
2820*85 (RowExpandColHdr
2821tm "RowExpandColHdrMgr"
2822)
2823*86 (GroupColHdr
2824tm "GroupColHdrMgr"
2825)
2826*87 (NameColHdr
2827tm "BlockDiagramNameColHdrMgr"
2828)
2829*88 (ModeColHdr
2830tm "BlockDiagramModeColHdrMgr"
2831)
2832*89 (TypeColHdr
2833tm "BlockDiagramTypeColHdrMgr"
2834)
2835*90 (BoundsColHdr
2836tm "BlockDiagramBoundsColHdrMgr"
2837)
2838*91 (InitColHdr
2839tm "BlockDiagramInitColHdrMgr"
2840)
2841*92 (EolColHdr
2842tm "BlockDiagramEolColHdrMgr"
2843)
2844*93 (LeafLogPort
2845port (LogicalPort
2846lang 10
2847m 4
2848decl (Decl
2849n "remainder"
2850t "std_logic_vector"
2851b "(2 DOWNTO 0)"
2852o 1
2853suid 1,0
2854)
2855)
2856uid 181,0
2857)
2858*94 (LeafLogPort
2859port (LogicalPort
2860lang 10
2861m 4
2862decl (Decl
2863n "started"
2864t "std_logic"
2865o 2
2866suid 2,0
2867)
2868)
2869uid 183,0
2870)
2871*95 (LeafLogPort
2872port (LogicalPort
2873lang 10
2874m 4
2875decl (Decl
2876n "valid"
2877t "std_logic"
2878o 3
2879suid 3,0
2880)
2881)
2882uid 185,0
2883)
2884*96 (LeafLogPort
2885port (LogicalPort
2886m 4
2887decl (Decl
2888n "clk"
2889t "std_logic"
2890o 4
2891suid 4,0
2892)
2893)
2894uid 187,0
2895)
2896*97 (LeafLogPort
2897port (LogicalPort
2898lang 10
2899m 4
2900decl (Decl
2901n "number"
2902t "std_logic_vector"
2903b "(31 DOWNTO 0)"
2904o 5
2905suid 5,0
2906)
2907)
2908uid 189,0
2909)
2910*98 (LeafLogPort
2911port (LogicalPort
2912m 4
2913decl (Decl
2914n "start"
2915t "std_logic"
2916o 6
2917suid 6,0
2918)
2919)
2920uid 191,0
2921)
2922]
2923)
2924pdm (PhysicalDM
2925displayShortBounds 1
2926editShortBounds 1
2927uid 219,0
2928optionalChildren [
2929*99 (Sheet
2930sheetRow (SheetRow
2931headerVa (MVa
2932cellColor "49152,49152,49152"
2933fontColor "0,0,0"
2934font "Tahoma,10,0"
2935)
2936cellVa (MVa
2937cellColor "65535,65535,65535"
2938fontColor "0,0,0"
2939font "Tahoma,10,0"
2940)
2941groupVa (MVa
2942cellColor "39936,56832,65280"
2943fontColor "0,0,0"
2944font "Tahoma,10,0"
2945)
2946emptyMRCItem *100 (MRCItem
2947litem &80
2948pos 6
2949dimension 20
2950)
2951uid 221,0
2952optionalChildren [
2953*101 (MRCItem
2954litem &81
2955pos 0
2956dimension 20
2957uid 222,0
2958)
2959*102 (MRCItem
2960litem &82
2961pos 1
2962dimension 23
2963uid 223,0
2964)
2965*103 (MRCItem
2966litem &83
2967pos 2
2968hidden 1
2969dimension 20
2970uid 224,0
2971)
2972*104 (MRCItem
2973litem &93
2974pos 0
2975dimension 20
2976uid 182,0
2977)
2978*105 (MRCItem
2979litem &94
2980pos 1
2981dimension 20
2982uid 184,0
2983)
2984*106 (MRCItem
2985litem &95
2986pos 2
2987dimension 20
2988uid 186,0
2989)
2990*107 (MRCItem
2991litem &96
2992pos 3
2993dimension 20
2994uid 188,0
2995)
2996*108 (MRCItem
2997litem &97
2998pos 4
2999dimension 20
3000uid 190,0
3001)
3002*109 (MRCItem
3003litem &98
3004pos 5
3005dimension 20
3006uid 192,0
3007)
3008]
3009)
3010sheetCol (SheetCol
3011propVa (MVa
3012cellColor "0,49152,49152"
3013fontColor "0,0,0"
3014font "Tahoma,10,0"
3015textAngle 90
3016)
3017uid 225,0
3018optionalChildren [
3019*110 (MRCItem
3020litem &84
3021pos 0
3022dimension 20
3023uid 226,0
3024)
3025*111 (MRCItem
3026litem &86
3027pos 1
3028dimension 50
3029uid 227,0
3030)
3031*112 (MRCItem
3032litem &87
3033pos 2
3034dimension 100
3035uid 228,0
3036)
3037*113 (MRCItem
3038litem &88
3039pos 3
3040dimension 50
3041uid 229,0
3042)
3043*114 (MRCItem
3044litem &89
3045pos 4
3046dimension 100
3047uid 230,0
3048)
3049*115 (MRCItem
3050litem &90
3051pos 5
3052dimension 100
3053uid 231,0
3054)
3055*116 (MRCItem
3056litem &91
3057pos 6
3058dimension 50
3059uid 232,0
3060)
3061*117 (MRCItem
3062litem &92
3063pos 7
3064dimension 80
3065uid 233,0
3066)
3067]
3068)
3069fixedCol 4
3070fixedRow 2
3071name "Ports"
3072uid 220,0
3073vaOverrides [
3074]
3075)
3076]
3077)
3078uid 205,0
3079)
3080genericsCommonDM (CommonDM
3081ldm (LogicalDM
3082emptyRow *118 (LEmptyRow
3083)
3084uid 235,0
3085optionalChildren [
3086*119 (RefLabelRowHdr
3087)
3088*120 (TitleRowHdr
3089)
3090*121 (FilterRowHdr
3091)
3092*122 (RefLabelColHdr
3093tm "RefLabelColHdrMgr"
3094)
3095*123 (RowExpandColHdr
3096tm "RowExpandColHdrMgr"
3097)
3098*124 (GroupColHdr
3099tm "GroupColHdrMgr"
3100)
3101*125 (NameColHdr
3102tm "GenericNameColHdrMgr"
3103)
3104*126 (TypeColHdr
3105tm "GenericTypeColHdrMgr"
3106)
3107*127 (InitColHdr
3108tm "GenericValueColHdrMgr"
3109)
3110*128 (PragmaColHdr
3111tm "GenericPragmaColHdrMgr"
3112)
3113*129 (EolColHdr
3114tm "GenericEolColHdrMgr"
3115)
3116]
3117)
3118pdm (PhysicalDM
3119displayShortBounds 1
3120editShortBounds 1
3121uid 247,0
3122optionalChildren [
3123*130 (Sheet
3124sheetRow (SheetRow
3125headerVa (MVa
3126cellColor "49152,49152,49152"
3127fontColor "0,0,0"
3128font "Tahoma,10,0"
3129)
3130cellVa (MVa
3131cellColor "65535,65535,65535"
3132fontColor "0,0,0"
3133font "Tahoma,10,0"
3134)
3135groupVa (MVa
3136cellColor "39936,56832,65280"
3137fontColor "0,0,0"
3138font "Tahoma,10,0"
3139)
3140emptyMRCItem *131 (MRCItem
3141litem &118
3142pos 0
3143dimension 20
3144)
3145uid 249,0
3146optionalChildren [
3147*132 (MRCItem
3148litem &119
3149pos 0
3150dimension 20
3151uid 250,0
3152)
3153*133 (MRCItem
3154litem &120
3155pos 1
3156dimension 23
3157uid 251,0
3158)
3159*134 (MRCItem
3160litem &121
3161pos 2
3162hidden 1
3163dimension 20
3164uid 252,0
3165)
3166]
3167)
3168sheetCol (SheetCol
3169propVa (MVa
3170cellColor "0,49152,49152"
3171fontColor "0,0,0"
3172font "Tahoma,10,0"
3173textAngle 90
3174)
3175uid 253,0
3176optionalChildren [
3177*135 (MRCItem
3178litem &122
3179pos 0
3180dimension 20
3181uid 254,0
3182)
3183*136 (MRCItem
3184litem &124
3185pos 1
3186dimension 50
3187uid 255,0
3188)
3189*137 (MRCItem
3190litem &125
3191pos 2
3192dimension 100
3193uid 256,0
3194)
3195*138 (MRCItem
3196litem &126
3197pos 3
3198dimension 100
3199uid 257,0
3200)
3201*139 (MRCItem
3202litem &127
3203pos 4
3204dimension 50
3205uid 258,0
3206)
3207*140 (MRCItem
3208litem &128
3209pos 5
3210dimension 50
3211uid 259,0
3212)
3213*141 (MRCItem
3214litem &129
3215pos 6
3216dimension 80
3217uid 260,0
3218)
3219]
3220)
3221fixedCol 3
3222fixedRow 2
3223name "Ports"
3224uid 248,0
3225vaOverrides [
3226]
3227)
3228]
3229)
3230uid 234,0
3231type 1
3232)
3233activeModelName "BlockDiag"
3234)
Note: See TracBrowser for help on using the repository browser.