source: firmware/FAD/FACT_FAD_TB_lib/hds/mod7_tb/struct.bd.bak

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 41.9 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "ieee"
12unitName "std_logic_unsigned"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "std_logic_arith"
18itemName "ALL"
19)
20]
21instances [
22(Instance
23name "U_0"
24duLibraryName "FACT_FAD_lib"
25duName "mod7"
26elements [
27]
28mwi 0
29uid 33,0
30)
31(Instance
32name "U_1"
33duLibraryName "FACT_FAD_TB_lib"
34duName "mod7_tester"
35elements [
36]
37mwi 0
38uid 91,0
39)
40(Instance
41name "U_2"
42duLibraryName "FACT_FAD_TB_lib"
43duName "clock_generator"
44elements [
45(GiElement
46name "clock_period"
47type "time"
48value "20 ns"
49)
50(GiElement
51name "reset_time"
52type "time"
53value "50 ns"
54)
55]
56mwi 0
57uid 308,0
58)
59]
60libraryRefs [
61"ieee"
62]
63)
64version "29.1"
65appVersion "2009.2 (Build 10)"
66noEmbeddedEditors 1
67model (BlockDiag
68VExpander (VariableExpander
69vvMap [
70(vvPair
71variable "HDLDir"
72value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
73)
74(vvPair
75variable "HDSDir"
76value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
77)
78(vvPair
79variable "SideDataDesignDir"
80value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb\\struct.bd.info"
81)
82(vvPair
83variable "SideDataUserDir"
84value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb\\struct.bd.user"
85)
86(vvPair
87variable "SourceDir"
88value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
89)
90(vvPair
91variable "appl"
92value "HDL Designer"
93)
94(vvPair
95variable "arch_name"
96value "struct"
97)
98(vvPair
99variable "config"
100value "%(unit)_%(view)_config"
101)
102(vvPair
103variable "d"
104value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb"
105)
106(vvPair
107variable "d_logical"
108value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb"
109)
110(vvPair
111variable "date"
112value "16.02.2011"
113)
114(vvPair
115variable "day"
116value "Mi"
117)
118(vvPair
119variable "day_long"
120value "Mittwoch"
121)
122(vvPair
123variable "dd"
124value "16"
125)
126(vvPair
127variable "entity_name"
128value "mod7_tb"
129)
130(vvPair
131variable "ext"
132value "<TBD>"
133)
134(vvPair
135variable "f"
136value "struct.bd"
137)
138(vvPair
139variable "f_logical"
140value "struct.bd"
141)
142(vvPair
143variable "f_noext"
144value "struct"
145)
146(vvPair
147variable "group"
148value "UNKNOWN"
149)
150(vvPair
151variable "host"
152value "E5B-LABOR6"
153)
154(vvPair
155variable "language"
156value "VHDL"
157)
158(vvPair
159variable "library"
160value "FACT_FAD_TB_lib"
161)
162(vvPair
163variable "library_downstream_ISEPARInvoke"
164value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
165)
166(vvPair
167variable "library_downstream_ImpactInvoke"
168value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
169)
170(vvPair
171variable "library_downstream_ModelSimCompiler"
172value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
173)
174(vvPair
175variable "library_downstream_XSTDataPrep"
176value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
177)
178(vvPair
179variable "mm"
180value "02"
181)
182(vvPair
183variable "module_name"
184value "mod7_tb"
185)
186(vvPair
187variable "month"
188value "Feb"
189)
190(vvPair
191variable "month_long"
192value "Februar"
193)
194(vvPair
195variable "p"
196value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb\\struct.bd"
197)
198(vvPair
199variable "p_logical"
200value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb\\struct.bd"
201)
202(vvPair
203variable "package_name"
204value "<Undefined Variable>"
205)
206(vvPair
207variable "project_name"
208value "FACT_FAD"
209)
210(vvPair
211variable "series"
212value "HDL Designer Series"
213)
214(vvPair
215variable "task_DesignCompilerPath"
216value "<TBD>"
217)
218(vvPair
219variable "task_LeonardoPath"
220value "<TBD>"
221)
222(vvPair
223variable "task_ModelSimPath"
224value "C:\\modeltech_6.6a\\win32"
225)
226(vvPair
227variable "task_NC-SimPath"
228value "<TBD>"
229)
230(vvPair
231variable "task_PrecisionRTLPath"
232value "<TBD>"
233)
234(vvPair
235variable "task_QuestaSimPath"
236value "<TBD>"
237)
238(vvPair
239variable "task_VCSPath"
240value "<TBD>"
241)
242(vvPair
243variable "this_ext"
244value "bd"
245)
246(vvPair
247variable "this_file"
248value "struct"
249)
250(vvPair
251variable "this_file_logical"
252value "struct"
253)
254(vvPair
255variable "time"
256value "15:45:29"
257)
258(vvPair
259variable "unit"
260value "mod7_tb"
261)
262(vvPair
263variable "user"
264value "dneise"
265)
266(vvPair
267variable "version"
268value "2009.2 (Build 10)"
269)
270(vvPair
271variable "view"
272value "struct"
273)
274(vvPair
275variable "year"
276value "2011"
277)
278(vvPair
279variable "yy"
280value "11"
281)
282]
283)
284LanguageMgr "VhdlLangMgr"
285uid 204,0
286optionalChildren [
287*1 (SaComponent
288uid 33,0
289optionalChildren [
290*2 (CptPort
291uid 9,0
292ps "OnEdgeStrategy"
293shape (Triangle
294uid 10,0
295ro 90
296va (VaSet
297vasetType 1
298fg "0,65535,0"
299)
300xt "-750,22625,0,23375"
301)
302tg (CPTG
303uid 11,0
304ps "CptPortTextPlaceStrategy"
305stg "VerticalLayoutStrategy"
306f (Text
307uid 12,0
308va (VaSet
309)
310xt "1000,22500,2300,23500"
311st "clk"
312blo "1000,23300"
313)
314)
315thePort (LogicalPort
316decl (Decl
317n "clk"
318t "std_logic"
319o 1
320)
321)
322)
323*3 (CptPort
324uid 13,0
325ps "OnEdgeStrategy"
326shape (Triangle
327uid 14,0
328ro 90
329va (VaSet
330vasetType 1
331fg "0,65535,0"
332)
333xt "-750,23625,0,24375"
334)
335tg (CPTG
336uid 15,0
337ps "CptPortTextPlaceStrategy"
338stg "VerticalLayoutStrategy"
339f (Text
340uid 16,0
341va (VaSet
342)
343xt "1000,23500,6900,24500"
344st "number : (31:0)"
345blo "1000,24300"
346)
347)
348thePort (LogicalPort
349decl (Decl
350n "number"
351t "std_logic_vector"
352b "(31 downto 0)"
353o 2
354)
355)
356)
357*4 (CptPort
358uid 17,0
359ps "OnEdgeStrategy"
360shape (Triangle
361uid 18,0
362ro 90
363va (VaSet
364vasetType 1
365fg "0,65535,0"
366)
367xt "-750,24625,0,25375"
368)
369tg (CPTG
370uid 19,0
371ps "CptPortTextPlaceStrategy"
372stg "VerticalLayoutStrategy"
373f (Text
374uid 20,0
375va (VaSet
376)
377xt "1000,24500,2900,25500"
378st "start"
379blo "1000,25300"
380)
381)
382thePort (LogicalPort
383decl (Decl
384n "start"
385t "std_logic"
386o 3
387)
388)
389)
390*5 (CptPort
391uid 21,0
392ps "OnEdgeStrategy"
393shape (Triangle
394uid 22,0
395ro 90
396va (VaSet
397vasetType 1
398fg "0,65535,0"
399)
400xt "15000,22625,15750,23375"
401)
402tg (CPTG
403uid 23,0
404ps "CptPortTextPlaceStrategy"
405stg "RightVerticalLayoutStrategy"
406f (Text
407uid 24,0
408va (VaSet
409)
410xt "7600,22500,14000,23500"
411st "remainder : (2:0)"
412ju 2
413blo "14000,23300"
414)
415)
416thePort (LogicalPort
417m 1
418decl (Decl
419n "remainder"
420t "std_logic_vector"
421b "(2 downto 0)"
422o 4
423i "(others => '0')"
424)
425)
426)
427*6 (CptPort
428uid 25,0
429ps "OnEdgeStrategy"
430shape (Triangle
431uid 26,0
432ro 90
433va (VaSet
434vasetType 1
435fg "0,65535,0"
436)
437xt "15000,23625,15750,24375"
438)
439tg (CPTG
440uid 27,0
441ps "CptPortTextPlaceStrategy"
442stg "RightVerticalLayoutStrategy"
443f (Text
444uid 28,0
445va (VaSet
446)
447xt "11300,23500,14000,24500"
448st "started"
449ju 2
450blo "14000,24300"
451)
452)
453thePort (LogicalPort
454m 1
455decl (Decl
456n "started"
457t "std_logic"
458o 5
459i "'0'"
460)
461)
462)
463*7 (CptPort
464uid 29,0
465ps "OnEdgeStrategy"
466shape (Triangle
467uid 30,0
468ro 90
469va (VaSet
470vasetType 1
471fg "0,65535,0"
472)
473xt "15000,24625,15750,25375"
474)
475tg (CPTG
476uid 31,0
477ps "CptPortTextPlaceStrategy"
478stg "RightVerticalLayoutStrategy"
479f (Text
480uid 32,0
481va (VaSet
482)
483xt "12100,24500,14000,25500"
484st "valid"
485ju 2
486blo "14000,25300"
487)
488)
489thePort (LogicalPort
490m 1
491decl (Decl
492n "valid"
493t "std_logic"
494o 6
495i "'0'"
496)
497)
498)
499]
500shape (Rectangle
501uid 34,0
502va (VaSet
503vasetType 1
504fg "0,65535,0"
505lineColor "0,32896,0"
506lineWidth 2
507)
508xt "0,22000,15000,29000"
509)
510oxt "0,10000,15000,14000"
511ttg (MlTextGroup
512uid 35,0
513ps "CenterOffsetStrategy"
514stg "VerticalLayoutStrategy"
515textVec [
516*8 (Text
517uid 36,0
518va (VaSet
519font "Arial,8,1"
520)
521xt "4400,26000,10600,27000"
522st "FACT_FAD_lib"
523blo "4400,26800"
524tm "BdLibraryNameMgr"
525)
526*9 (Text
527uid 37,0
528va (VaSet
529font "Arial,8,1"
530)
531xt "4400,27000,6900,28000"
532st "mod7"
533blo "4400,27800"
534tm "CptNameMgr"
535)
536*10 (Text
537uid 38,0
538va (VaSet
539font "Arial,8,1"
540)
541xt "4400,28000,6200,29000"
542st "U_0"
543blo "4400,28800"
544tm "InstanceNameMgr"
545)
546]
547)
548ga (GenericAssociation
549uid 39,0
550ps "EdgeToEdgeStrategy"
551matrix (Matrix
552uid 40,0
553text (MLText
554uid 41,0
555va (VaSet
556font "Courier New,8,0"
557)
558xt "7500,22000,7500,22000"
559)
560header ""
561)
562elements [
563]
564)
565viewicon (ZoomableIcon
566uid 42,0
567sl 0
568va (VaSet
569vasetType 1
570fg "49152,49152,49152"
571)
572xt "250,27250,1750,28750"
573iconName "VhdlFileViewIcon.png"
574iconMaskName "VhdlFileViewIcon.msk"
575ftype 10
576)
577ordering 1
578viewiconposition 0
579portVis (PortSigDisplay
580)
581archType 1
582archFileType "UNKNOWN"
583)
584*11 (Net
585uid 43,0
586lang 10
587decl (Decl
588n "remainder"
589t "std_logic_vector"
590b "(2 DOWNTO 0)"
591o 1
592suid 1,0
593)
594declText (MLText
595uid 44,0
596va (VaSet
597font "Courier New,8,0"
598)
599xt "22000,4600,47500,5400"
600st "SIGNAL remainder : std_logic_vector(2 DOWNTO 0)"
601)
602)
603*12 (Net
604uid 51,0
605lang 10
606decl (Decl
607n "started"
608t "std_logic"
609o 2
610suid 2,0
611)
612declText (MLText
613uid 52,0
614va (VaSet
615font "Courier New,8,0"
616)
617xt "22000,6200,37500,7000"
618st "SIGNAL started : std_logic"
619)
620)
621*13 (Net
622uid 59,0
623lang 10
624decl (Decl
625n "valid"
626t "std_logic"
627o 3
628suid 3,0
629)
630declText (MLText
631uid 60,0
632va (VaSet
633font "Courier New,8,0"
634)
635xt "22000,7000,37500,7800"
636st "SIGNAL valid : std_logic"
637)
638)
639*14 (Net
640uid 67,0
641decl (Decl
642n "clk"
643t "std_logic"
644o 4
645suid 4,0
646)
647declText (MLText
648uid 68,0
649va (VaSet
650font "Courier New,8,0"
651)
652xt "22000,3000,37500,3800"
653st "SIGNAL clk : std_logic"
654)
655)
656*15 (Net
657uid 75,0
658lang 10
659decl (Decl
660n "number"
661t "std_logic_vector"
662b "(31 DOWNTO 0)"
663o 5
664suid 5,0
665)
666declText (MLText
667uid 76,0
668va (VaSet
669font "Courier New,8,0"
670)
671xt "22000,3800,48000,4600"
672st "SIGNAL number : std_logic_vector(31 DOWNTO 0)"
673)
674)
675*16 (Net
676uid 83,0
677decl (Decl
678n "start"
679t "std_logic"
680o 6
681suid 6,0
682)
683declText (MLText
684uid 84,0
685va (VaSet
686font "Courier New,8,0"
687)
688xt "22000,5400,37500,6200"
689st "SIGNAL start : std_logic"
690)
691)
692*17 (Blk
693uid 91,0
694shape (Rectangle
695uid 92,0
696va (VaSet
697vasetType 1
698fg "39936,56832,65280"
699lineColor "0,0,32768"
700lineWidth 2
701)
702xt "37000,10000,52000,14000"
703)
704ttg (MlTextGroup
705uid 93,0
706ps "CenterOffsetStrategy"
707stg "VerticalLayoutStrategy"
708textVec [
709*18 (Text
710uid 94,0
711va (VaSet
712font "Arial,8,1"
713)
714xt "40650,10500,48350,11500"
715st "FACT_FAD_TB_lib"
716blo "40650,11300"
717tm "BdLibraryNameMgr"
718)
719*19 (Text
720uid 95,0
721va (VaSet
722font "Arial,8,1"
723)
724xt "40650,11500,46050,12500"
725st "mod7_tester"
726blo "40650,12300"
727tm "BlkNameMgr"
728)
729*20 (Text
730uid 96,0
731va (VaSet
732font "Arial,8,1"
733)
734xt "40650,12500,42450,13500"
735st "U_1"
736blo "40650,13300"
737tm "InstanceNameMgr"
738)
739]
740)
741ga (GenericAssociation
742uid 97,0
743ps "EdgeToEdgeStrategy"
744matrix (Matrix
745uid 98,0
746text (MLText
747uid 99,0
748va (VaSet
749font "Courier New,8,0"
750)
751xt "40650,20500,40650,20500"
752)
753header ""
754)
755elements [
756]
757)
758viewicon (ZoomableIcon
759uid 100,0
760sl 0
761va (VaSet
762vasetType 1
763fg "49152,49152,49152"
764)
765xt "37250,12250,38750,13750"
766iconName "VhdlFileViewIcon.png"
767iconMaskName "VhdlFileViewIcon.msk"
768ftype 10
769)
770ordering 1
771viewiconposition 0
772blkPorts [
773"remainder"
774"started"
775"valid"
776"number"
777"start"
778]
779)
780*21 (Grouping
781uid 149,0
782optionalChildren [
783*22 (CommentText
784uid 151,0
785shape (Rectangle
786uid 152,0
787sl 0
788va (VaSet
789vasetType 1
790fg "65280,65280,46080"
791)
792xt "26000,48000,43000,49000"
793)
794oxt "18000,70000,35000,71000"
795text (MLText
796uid 153,0
797va (VaSet
798fg "0,0,32768"
799bg "0,0,32768"
800)
801xt "26200,48000,35800,49000"
802st "
803by %user on %dd %month %year
804"
805tm "CommentText"
806wrapOption 3
807visibleHeight 1000
808visibleWidth 17000
809)
810position 1
811ignorePrefs 1
812titleBlock 1
813)
814*23 (CommentText
815uid 154,0
816shape (Rectangle
817uid 155,0
818sl 0
819va (VaSet
820vasetType 1
821fg "65280,65280,46080"
822)
823xt "43000,44000,47000,45000"
824)
825oxt "35000,66000,39000,67000"
826text (MLText
827uid 156,0
828va (VaSet
829fg "0,0,32768"
830bg "0,0,32768"
831)
832xt "43200,44000,46200,45000"
833st "
834Project:
835"
836tm "CommentText"
837wrapOption 3
838visibleHeight 1000
839visibleWidth 4000
840)
841position 1
842ignorePrefs 1
843titleBlock 1
844)
845*24 (CommentText
846uid 157,0
847shape (Rectangle
848uid 158,0
849sl 0
850va (VaSet
851vasetType 1
852fg "65280,65280,46080"
853)
854xt "26000,46000,43000,47000"
855)
856oxt "18000,68000,35000,69000"
857text (MLText
858uid 159,0
859va (VaSet
860fg "0,0,32768"
861bg "0,0,32768"
862)
863xt "26200,46000,36200,47000"
864st "
865<enter diagram title here>
866"
867tm "CommentText"
868wrapOption 3
869visibleHeight 1000
870visibleWidth 17000
871)
872position 1
873ignorePrefs 1
874titleBlock 1
875)
876*25 (CommentText
877uid 160,0
878shape (Rectangle
879uid 161,0
880sl 0
881va (VaSet
882vasetType 1
883fg "65280,65280,46080"
884)
885xt "22000,46000,26000,47000"
886)
887oxt "14000,68000,18000,69000"
888text (MLText
889uid 162,0
890va (VaSet
891fg "0,0,32768"
892bg "0,0,32768"
893)
894xt "22200,46000,24300,47000"
895st "
896Title:
897"
898tm "CommentText"
899wrapOption 3
900visibleHeight 1000
901visibleWidth 4000
902)
903position 1
904ignorePrefs 1
905titleBlock 1
906)
907*26 (CommentText
908uid 163,0
909shape (Rectangle
910uid 164,0
911sl 0
912va (VaSet
913vasetType 1
914fg "65280,65280,46080"
915)
916xt "43000,45000,63000,49000"
917)
918oxt "35000,67000,55000,71000"
919text (MLText
920uid 165,0
921va (VaSet
922fg "0,0,32768"
923bg "0,0,32768"
924)
925xt "43200,45200,52400,46200"
926st "
927<enter comments here>
928"
929tm "CommentText"
930wrapOption 3
931visibleHeight 4000
932visibleWidth 20000
933)
934ignorePrefs 1
935titleBlock 1
936)
937*27 (CommentText
938uid 166,0
939shape (Rectangle
940uid 167,0
941sl 0
942va (VaSet
943vasetType 1
944fg "65280,65280,46080"
945)
946xt "47000,44000,63000,45000"
947)
948oxt "39000,66000,55000,67000"
949text (MLText
950uid 168,0
951va (VaSet
952fg "0,0,32768"
953bg "0,0,32768"
954)
955xt "47200,44000,51700,45000"
956st "
957%project_name
958"
959tm "CommentText"
960wrapOption 3
961visibleHeight 1000
962visibleWidth 16000
963)
964position 1
965ignorePrefs 1
966titleBlock 1
967)
968*28 (CommentText
969uid 169,0
970shape (Rectangle
971uid 170,0
972sl 0
973va (VaSet
974vasetType 1
975fg "65280,65280,46080"
976)
977xt "22000,44000,43000,46000"
978)
979oxt "14000,66000,35000,68000"
980text (MLText
981uid 171,0
982va (VaSet
983fg "32768,0,0"
984)
985xt "29150,44500,35850,45500"
986st "
987<company name>
988"
989ju 0
990tm "CommentText"
991wrapOption 3
992visibleHeight 2000
993visibleWidth 21000
994)
995position 1
996ignorePrefs 1
997titleBlock 1
998)
999*29 (CommentText
1000uid 172,0
1001shape (Rectangle
1002uid 173,0
1003sl 0
1004va (VaSet
1005vasetType 1
1006fg "65280,65280,46080"
1007)
1008xt "22000,47000,26000,48000"
1009)
1010oxt "14000,69000,18000,70000"
1011text (MLText
1012uid 174,0
1013va (VaSet
1014fg "0,0,32768"
1015bg "0,0,32768"
1016)
1017xt "22200,47000,24300,48000"
1018st "
1019Path:
1020"
1021tm "CommentText"
1022wrapOption 3
1023visibleHeight 1000
1024visibleWidth 4000
1025)
1026position 1
1027ignorePrefs 1
1028titleBlock 1
1029)
1030*30 (CommentText
1031uid 175,0
1032shape (Rectangle
1033uid 176,0
1034sl 0
1035va (VaSet
1036vasetType 1
1037fg "65280,65280,46080"
1038)
1039xt "22000,48000,26000,49000"
1040)
1041oxt "14000,70000,18000,71000"
1042text (MLText
1043uid 177,0
1044va (VaSet
1045fg "0,0,32768"
1046bg "0,0,32768"
1047)
1048xt "22200,48000,24900,49000"
1049st "
1050Edited:
1051"
1052tm "CommentText"
1053wrapOption 3
1054visibleHeight 1000
1055visibleWidth 4000
1056)
1057position 1
1058ignorePrefs 1
1059titleBlock 1
1060)
1061*31 (CommentText
1062uid 178,0
1063shape (Rectangle
1064uid 179,0
1065sl 0
1066va (VaSet
1067vasetType 1
1068fg "65280,65280,46080"
1069)
1070xt "26000,47000,43000,48000"
1071)
1072oxt "18000,69000,35000,70000"
1073text (MLText
1074uid 180,0
1075va (VaSet
1076fg "0,0,32768"
1077bg "0,0,32768"
1078)
1079xt "26200,47000,39200,48000"
1080st "
1081%library/%unit/%view
1082"
1083tm "CommentText"
1084wrapOption 3
1085visibleHeight 1000
1086visibleWidth 17000
1087)
1088position 1
1089ignorePrefs 1
1090titleBlock 1
1091)
1092]
1093shape (GroupingShape
1094uid 150,0
1095va (VaSet
1096vasetType 1
1097fg "65535,65535,65535"
1098lineStyle 2
1099lineWidth 2
1100)
1101xt "22000,44000,63000,49000"
1102)
1103oxt "14000,66000,55000,71000"
1104)
1105*32 (SaComponent
1106uid 308,0
1107optionalChildren [
1108*33 (CptPort
1109uid 297,0
1110ps "OnEdgeStrategy"
1111shape (Triangle
1112uid 298,0
1113ro 90
1114va (VaSet
1115vasetType 1
1116fg "0,65535,0"
1117)
1118xt "1000,11625,1750,12375"
1119)
1120tg (CPTG
1121uid 299,0
1122ps "CptPortTextPlaceStrategy"
1123stg "RightVerticalLayoutStrategy"
1124f (Text
1125uid 300,0
1126va (VaSet
1127)
1128xt "-1300,11500,0,12500"
1129st "clk"
1130ju 2
1131blo "0,12300"
1132)
1133)
1134thePort (LogicalPort
1135m 1
1136decl (Decl
1137n "clk"
1138t "std_logic"
1139preAdd 0
1140posAdd 0
1141o 1
1142suid 1,0
1143i "'0'"
1144)
1145)
1146)
1147*34 (CptPort
1148uid 301,0
1149ps "OnEdgeStrategy"
1150shape (Triangle
1151uid 302,0
1152ro 90
1153va (VaSet
1154vasetType 1
1155fg "0,65535,0"
1156)
1157xt "1000,12625,1750,13375"
1158)
1159tg (CPTG
1160uid 303,0
1161ps "CptPortTextPlaceStrategy"
1162stg "RightVerticalLayoutStrategy"
1163f (Text
1164uid 304,0
1165va (VaSet
1166)
1167xt "-1300,12500,0,13500"
1168st "rst"
1169ju 2
1170blo "0,13300"
1171)
1172)
1173thePort (LogicalPort
1174m 1
1175decl (Decl
1176n "rst"
1177t "std_logic"
1178preAdd 0
1179posAdd 0
1180o 2
1181suid 2,0
1182i "'0'"
1183)
1184)
1185)
1186]
1187shape (Rectangle
1188uid 309,0
1189va (VaSet
1190vasetType 1
1191fg "0,49152,49152"
1192lineColor "0,0,50000"
1193lineWidth 2
1194)
1195xt "-7000,11000,1000,15000"
1196)
1197oxt "22000,15000,30000,19000"
1198ttg (MlTextGroup
1199uid 310,0
1200ps "CenterOffsetStrategy"
1201stg "VerticalLayoutStrategy"
1202textVec [
1203*35 (Text
1204uid 311,0
1205va (VaSet
1206font "Arial,8,1"
1207)
1208xt "-6850,15000,850,16000"
1209st "FACT_FAD_TB_lib"
1210blo "-6850,15800"
1211tm "BdLibraryNameMgr"
1212)
1213*36 (Text
1214uid 312,0
1215va (VaSet
1216font "Arial,8,1"
1217)
1218xt "-6850,16000,-150,17000"
1219st "clock_generator"
1220blo "-6850,16800"
1221tm "CptNameMgr"
1222)
1223*37 (Text
1224uid 313,0
1225va (VaSet
1226font "Arial,8,1"
1227)
1228xt "-6850,17000,-5050,18000"
1229st "U_2"
1230blo "-6850,17800"
1231tm "InstanceNameMgr"
1232)
1233]
1234)
1235ga (GenericAssociation
1236uid 314,0
1237ps "EdgeToEdgeStrategy"
1238matrix (Matrix
1239uid 315,0
1240text (MLText
1241uid 316,0
1242va (VaSet
1243font "Courier New,8,0"
1244)
1245xt "-7000,9400,11500,11000"
1246st "clock_period = 20 ns ( time )
1247reset_time = 50 ns ( time ) "
1248)
1249header ""
1250)
1251elements [
1252(GiElement
1253name "clock_period"
1254type "time"
1255value "20 ns"
1256)
1257(GiElement
1258name "reset_time"
1259type "time"
1260value "50 ns"
1261)
1262]
1263)
1264viewicon (ZoomableIcon
1265uid 317,0
1266sl 0
1267va (VaSet
1268vasetType 1
1269fg "49152,49152,49152"
1270)
1271xt "-6750,13250,-5250,14750"
1272iconName "VhdlFileViewIcon.png"
1273iconMaskName "VhdlFileViewIcon.msk"
1274ftype 10
1275)
1276ordering 1
1277viewiconposition 0
1278portVis (PortSigDisplay
1279)
1280archFileType "UNKNOWN"
1281)
1282*38 (Wire
1283uid 45,0
1284shape (OrthoPolyLine
1285uid 46,0
1286va (VaSet
1287vasetType 3
1288lineWidth 2
1289)
1290xt "15750,23000,24000,23000"
1291pts [
1292"15750,23000"
1293"24000,23000"
1294]
1295)
1296start &5
1297sat 32
1298eat 16
1299sty 1
1300st 0
1301sf 1
1302si 0
1303tg (WTG
1304uid 49,0
1305ps "ConnStartEndStrategy"
1306stg "STSignalDisplayStrategy"
1307f (Text
1308uid 50,0
1309va (VaSet
1310)
1311xt "17000,22000,23400,23000"
1312st "remainder : (2:0)"
1313blo "17000,22800"
1314tm "WireNameMgr"
1315)
1316)
1317on &11
1318)
1319*39 (Wire
1320uid 53,0
1321shape (OrthoPolyLine
1322uid 54,0
1323va (VaSet
1324vasetType 3
1325)
1326xt "15750,24000,24000,24000"
1327pts [
1328"15750,24000"
1329"24000,24000"
1330]
1331)
1332start &6
1333sat 32
1334eat 16
1335st 0
1336sf 1
1337si 0
1338tg (WTG
1339uid 57,0
1340ps "ConnStartEndStrategy"
1341stg "STSignalDisplayStrategy"
1342f (Text
1343uid 58,0
1344va (VaSet
1345)
1346xt "17000,23000,19700,24000"
1347st "started"
1348blo "17000,23800"
1349tm "WireNameMgr"
1350)
1351)
1352on &12
1353)
1354*40 (Wire
1355uid 61,0
1356shape (OrthoPolyLine
1357uid 62,0
1358va (VaSet
1359vasetType 3
1360)
1361xt "15750,25000,24000,25000"
1362pts [
1363"15750,25000"
1364"24000,25000"
1365]
1366)
1367start &7
1368sat 32
1369eat 16
1370st 0
1371sf 1
1372si 0
1373tg (WTG
1374uid 65,0
1375ps "ConnStartEndStrategy"
1376stg "STSignalDisplayStrategy"
1377f (Text
1378uid 66,0
1379va (VaSet
1380)
1381xt "17000,24000,18900,25000"
1382st "valid"
1383blo "17000,24800"
1384tm "WireNameMgr"
1385)
1386)
1387on &13
1388)
1389*41 (Wire
1390uid 69,0
1391shape (OrthoPolyLine
1392uid 70,0
1393va (VaSet
1394vasetType 3
1395)
1396xt "-2000,12000,4000,23000"
1397pts [
1398"1750,12000"
1399"4000,12000"
1400"4000,18000"
1401"-2000,18000"
1402"-2000,23000"
1403"-750,23000"
1404]
1405)
1406start &33
1407end &2
1408ss 0
1409sat 32
1410eat 32
1411st 0
1412sf 1
1413si 0
1414tg (WTG
1415uid 73,0
1416ps "ConnStartEndStrategy"
1417stg "STSignalDisplayStrategy"
1418f (Text
1419uid 74,0
1420va (VaSet
1421)
1422xt "3000,11000,4300,12000"
1423st "clk"
1424blo "3000,11800"
1425tm "WireNameMgr"
1426)
1427)
1428on &14
1429)
1430*42 (Wire
1431uid 77,0
1432shape (OrthoPolyLine
1433uid 78,0
1434va (VaSet
1435vasetType 3
1436lineWidth 2
1437)
1438xt "-9000,24000,-750,24000"
1439pts [
1440"-9000,24000"
1441"-750,24000"
1442]
1443)
1444end &3
1445sat 16
1446eat 32
1447sty 1
1448st 0
1449sf 1
1450si 0
1451tg (WTG
1452uid 81,0
1453ps "ConnStartEndStrategy"
1454stg "STSignalDisplayStrategy"
1455f (Text
1456uid 82,0
1457va (VaSet
1458)
1459xt "-8000,23000,-2100,24000"
1460st "number : (31:0)"
1461blo "-8000,23800"
1462tm "WireNameMgr"
1463)
1464)
1465on &15
1466)
1467*43 (Wire
1468uid 85,0
1469shape (OrthoPolyLine
1470uid 86,0
1471va (VaSet
1472vasetType 3
1473)
1474xt "-9000,25000,-750,25000"
1475pts [
1476"-9000,25000"
1477"-750,25000"
1478]
1479)
1480end &4
1481sat 16
1482eat 32
1483st 0
1484sf 1
1485si 0
1486tg (WTG
1487uid 89,0
1488ps "ConnStartEndStrategy"
1489stg "STSignalDisplayStrategy"
1490f (Text
1491uid 90,0
1492va (VaSet
1493)
1494xt "-8000,24000,-6100,25000"
1495st "start"
1496blo "-8000,24800"
1497tm "WireNameMgr"
1498)
1499)
1500on &16
1501)
1502*44 (Wire
1503uid 101,0
1504shape (OrthoPolyLine
1505uid 102,0
1506va (VaSet
1507vasetType 3
1508)
1509xt "28000,13000,37000,13000"
1510pts [
1511"28000,13000"
1512"37000,13000"
1513]
1514)
1515end &17
1516sat 16
1517eat 2
1518st 0
1519sf 1
1520si 0
1521tg (WTG
1522uid 107,0
1523ps "ConnStartEndStrategy"
1524stg "STSignalDisplayStrategy"
1525f (Text
1526uid 108,0
1527va (VaSet
1528)
1529xt "29000,12000,30900,13000"
1530st "start"
1531blo "29000,12800"
1532tm "WireNameMgr"
1533)
1534)
1535on &16
1536)
1537*45 (Wire
1538uid 117,0
1539shape (OrthoPolyLine
1540uid 118,0
1541va (VaSet
1542vasetType 3
1543)
1544xt "52000,12000,61000,12000"
1545pts [
1546"52000,12000"
1547"61000,12000"
1548]
1549)
1550start &17
1551sat 1
1552eat 16
1553st 0
1554sf 1
1555si 0
1556tg (WTG
1557uid 123,0
1558ps "ConnStartEndStrategy"
1559stg "STSignalDisplayStrategy"
1560f (Text
1561uid 124,0
1562va (VaSet
1563)
1564xt "53000,11000,55700,12000"
1565st "started"
1566blo "53000,11800"
1567tm "WireNameMgr"
1568)
1569)
1570on &12
1571)
1572*46 (Wire
1573uid 125,0
1574shape (OrthoPolyLine
1575uid 126,0
1576va (VaSet
1577vasetType 3
1578lineWidth 2
1579)
1580xt "52000,11000,61000,11000"
1581pts [
1582"52000,11000"
1583"61000,11000"
1584]
1585)
1586start &17
1587sat 1
1588eat 16
1589sty 1
1590st 0
1591sf 1
1592si 0
1593tg (WTG
1594uid 131,0
1595ps "ConnStartEndStrategy"
1596stg "STSignalDisplayStrategy"
1597f (Text
1598uid 132,0
1599va (VaSet
1600)
1601xt "54000,10000,60400,11000"
1602st "remainder : (2:0)"
1603blo "54000,10800"
1604tm "WireNameMgr"
1605)
1606)
1607on &11
1608)
1609*47 (Wire
1610uid 133,0
1611shape (OrthoPolyLine
1612uid 134,0
1613va (VaSet
1614vasetType 3
1615)
1616xt "52000,13000,61000,13000"
1617pts [
1618"52000,13000"
1619"61000,13000"
1620]
1621)
1622start &17
1623sat 1
1624eat 16
1625st 0
1626sf 1
1627si 0
1628tg (WTG
1629uid 139,0
1630ps "ConnStartEndStrategy"
1631stg "STSignalDisplayStrategy"
1632f (Text
1633uid 140,0
1634va (VaSet
1635)
1636xt "53000,12000,54900,13000"
1637st "valid"
1638blo "53000,12800"
1639tm "WireNameMgr"
1640)
1641)
1642on &13
1643)
1644*48 (Wire
1645uid 141,0
1646shape (OrthoPolyLine
1647uid 142,0
1648va (VaSet
1649vasetType 3
1650lineWidth 2
1651)
1652xt "28000,12000,37000,12000"
1653pts [
1654"28000,12000"
1655"37000,12000"
1656]
1657)
1658end &17
1659sat 16
1660eat 2
1661sty 1
1662st 0
1663sf 1
1664si 0
1665tg (WTG
1666uid 147,0
1667ps "ConnStartEndStrategy"
1668stg "STSignalDisplayStrategy"
1669f (Text
1670uid 148,0
1671va (VaSet
1672)
1673xt "29000,11000,34900,12000"
1674st "number : (31:0)"
1675blo "29000,11800"
1676tm "WireNameMgr"
1677)
1678)
1679on &15
1680)
1681]
1682bg "65535,65535,65535"
1683grid (Grid
1684origin "0,0"
1685isVisible 1
1686isActive 1
1687xSpacing 1000
1688xySpacing 1000
1689xShown 1
1690yShown 1
1691color "26368,26368,26368"
1692)
1693packageList *49 (PackageList
1694uid 193,0
1695stg "VerticalLayoutStrategy"
1696textVec [
1697*50 (Text
1698uid 194,0
1699va (VaSet
1700font "arial,8,1"
1701)
1702xt "0,0,5400,1000"
1703st "Package List"
1704blo "0,800"
1705)
1706*51 (MLText
1707uid 195,0
1708va (VaSet
1709)
1710xt "0,1000,12900,5000"
1711st "LIBRARY ieee;
1712USE ieee.std_logic_1164.ALL;
1713USE ieee.std_logic_unsigned.ALL;
1714USE ieee.std_logic_arith.ALL;"
1715tm "PackageList"
1716)
1717]
1718)
1719compDirBlock (MlTextGroup
1720uid 196,0
1721stg "VerticalLayoutStrategy"
1722textVec [
1723*52 (Text
1724uid 197,0
1725va (VaSet
1726isHidden 1
1727font "Arial,8,1"
1728)
1729xt "20000,0,28100,1000"
1730st "Compiler Directives"
1731blo "20000,800"
1732)
1733*53 (Text
1734uid 198,0
1735va (VaSet
1736isHidden 1
1737font "Arial,8,1"
1738)
1739xt "20000,1000,29600,2000"
1740st "Pre-module directives:"
1741blo "20000,1800"
1742)
1743*54 (MLText
1744uid 199,0
1745va (VaSet
1746isHidden 1
1747)
1748xt "20000,2000,27500,4000"
1749st "`resetall
1750`timescale 1ns/10ps"
1751tm "BdCompilerDirectivesTextMgr"
1752)
1753*55 (Text
1754uid 200,0
1755va (VaSet
1756isHidden 1
1757font "Arial,8,1"
1758)
1759xt "20000,4000,30100,5000"
1760st "Post-module directives:"
1761blo "20000,4800"
1762)
1763*56 (MLText
1764uid 201,0
1765va (VaSet
1766isHidden 1
1767)
1768xt "20000,0,20000,0"
1769tm "BdCompilerDirectivesTextMgr"
1770)
1771*57 (Text
1772uid 202,0
1773va (VaSet
1774isHidden 1
1775font "Arial,8,1"
1776)
1777xt "20000,5000,29900,6000"
1778st "End-module directives:"
1779blo "20000,5800"
1780)
1781*58 (MLText
1782uid 203,0
1783va (VaSet
1784isHidden 1
1785)
1786xt "20000,6000,20000,6000"
1787tm "BdCompilerDirectivesTextMgr"
1788)
1789]
1790associable 1
1791)
1792windowSize "-4,-4,1284,998"
1793viewArea "-26768,-11959,50128,47441"
1794cachedDiagramExtent "-9400,0,63000,49000"
1795hasePageBreakOrigin 1
1796pageBreakOrigin "-10000,0"
1797lastUid 370,0
1798defaultCommentText (CommentText
1799shape (Rectangle
1800layer 0
1801va (VaSet
1802vasetType 1
1803fg "65280,65280,46080"
1804lineColor "0,0,32768"
1805)
1806xt "0,0,15000,5000"
1807)
1808text (MLText
1809va (VaSet
1810fg "0,0,32768"
1811)
1812xt "200,200,2000,1200"
1813st "
1814Text
1815"
1816tm "CommentText"
1817wrapOption 3
1818visibleHeight 4600
1819visibleWidth 14600
1820)
1821)
1822defaultPanel (Panel
1823shape (RectFrame
1824va (VaSet
1825vasetType 1
1826fg "65535,65535,65535"
1827lineColor "32768,0,0"
1828lineWidth 3
1829)
1830xt "0,0,20000,20000"
1831)
1832title (TextAssociate
1833ps "TopLeftStrategy"
1834text (Text
1835va (VaSet
1836font "Arial,8,1"
1837)
1838xt "1000,1000,3800,2000"
1839st "Panel0"
1840blo "1000,1800"
1841tm "PanelText"
1842)
1843)
1844)
1845defaultBlk (Blk
1846shape (Rectangle
1847va (VaSet
1848vasetType 1
1849fg "39936,56832,65280"
1850lineColor "0,0,32768"
1851lineWidth 2
1852)
1853xt "0,0,8000,10000"
1854)
1855ttg (MlTextGroup
1856ps "CenterOffsetStrategy"
1857stg "VerticalLayoutStrategy"
1858textVec [
1859*59 (Text
1860va (VaSet
1861font "Arial,8,1"
1862)
1863xt "2200,3500,5800,4500"
1864st "<library>"
1865blo "2200,4300"
1866tm "BdLibraryNameMgr"
1867)
1868*60 (Text
1869va (VaSet
1870font "Arial,8,1"
1871)
1872xt "2200,4500,5600,5500"
1873st "<block>"
1874blo "2200,5300"
1875tm "BlkNameMgr"
1876)
1877*61 (Text
1878va (VaSet
1879font "Arial,8,1"
1880)
1881xt "2200,5500,4000,6500"
1882st "U_0"
1883blo "2200,6300"
1884tm "InstanceNameMgr"
1885)
1886]
1887)
1888ga (GenericAssociation
1889ps "EdgeToEdgeStrategy"
1890matrix (Matrix
1891text (MLText
1892va (VaSet
1893font "Courier New,8,0"
1894)
1895xt "2200,13500,2200,13500"
1896)
1897header ""
1898)
1899elements [
1900]
1901)
1902viewicon (ZoomableIcon
1903sl 0
1904va (VaSet
1905vasetType 1
1906fg "49152,49152,49152"
1907)
1908xt "0,0,1500,1500"
1909iconName "UnknownFile.png"
1910iconMaskName "UnknownFile.msk"
1911)
1912viewiconposition 0
1913)
1914defaultMWComponent (MWC
1915shape (Rectangle
1916va (VaSet
1917vasetType 1
1918fg "0,65535,0"
1919lineColor "0,32896,0"
1920lineWidth 2
1921)
1922xt "0,0,8000,10000"
1923)
1924ttg (MlTextGroup
1925ps "CenterOffsetStrategy"
1926stg "VerticalLayoutStrategy"
1927textVec [
1928*62 (Text
1929va (VaSet
1930font "Arial,8,1"
1931)
1932xt "550,3500,3450,4500"
1933st "Library"
1934blo "550,4300"
1935)
1936*63 (Text
1937va (VaSet
1938font "Arial,8,1"
1939)
1940xt "550,4500,7450,5500"
1941st "MWComponent"
1942blo "550,5300"
1943)
1944*64 (Text
1945va (VaSet
1946font "Arial,8,1"
1947)
1948xt "550,5500,2350,6500"
1949st "U_0"
1950blo "550,6300"
1951tm "InstanceNameMgr"
1952)
1953]
1954)
1955ga (GenericAssociation
1956ps "EdgeToEdgeStrategy"
1957matrix (Matrix
1958text (MLText
1959va (VaSet
1960font "Courier New,8,0"
1961)
1962xt "-6450,1500,-6450,1500"
1963)
1964header ""
1965)
1966elements [
1967]
1968)
1969portVis (PortSigDisplay
1970)
1971prms (Property
1972pclass "params"
1973pname "params"
1974ptn "String"
1975)
1976visOptions (mwParamsVisibilityOptions
1977)
1978)
1979defaultSaComponent (SaComponent
1980shape (Rectangle
1981va (VaSet
1982vasetType 1
1983fg "0,65535,0"
1984lineColor "0,32896,0"
1985lineWidth 2
1986)
1987xt "0,0,8000,10000"
1988)
1989ttg (MlTextGroup
1990ps "CenterOffsetStrategy"
1991stg "VerticalLayoutStrategy"
1992textVec [
1993*65 (Text
1994va (VaSet
1995font "Arial,8,1"
1996)
1997xt "900,3500,3800,4500"
1998st "Library"
1999blo "900,4300"
2000tm "BdLibraryNameMgr"
2001)
2002*66 (Text
2003va (VaSet
2004font "Arial,8,1"
2005)
2006xt "900,4500,7100,5500"
2007st "SaComponent"
2008blo "900,5300"
2009tm "CptNameMgr"
2010)
2011*67 (Text
2012va (VaSet
2013font "Arial,8,1"
2014)
2015xt "900,5500,2700,6500"
2016st "U_0"
2017blo "900,6300"
2018tm "InstanceNameMgr"
2019)
2020]
2021)
2022ga (GenericAssociation
2023ps "EdgeToEdgeStrategy"
2024matrix (Matrix
2025text (MLText
2026va (VaSet
2027font "Courier New,8,0"
2028)
2029xt "-6100,1500,-6100,1500"
2030)
2031header ""
2032)
2033elements [
2034]
2035)
2036viewicon (ZoomableIcon
2037sl 0
2038va (VaSet
2039vasetType 1
2040fg "49152,49152,49152"
2041)
2042xt "0,0,1500,1500"
2043iconName "UnknownFile.png"
2044iconMaskName "UnknownFile.msk"
2045)
2046viewiconposition 0
2047portVis (PortSigDisplay
2048)
2049archFileType "UNKNOWN"
2050)
2051defaultVhdlComponent (VhdlComponent
2052shape (Rectangle
2053va (VaSet
2054vasetType 1
2055fg "0,65535,0"
2056lineColor "0,32896,0"
2057lineWidth 2
2058)
2059xt "0,0,8000,10000"
2060)
2061ttg (MlTextGroup
2062ps "CenterOffsetStrategy"
2063stg "VerticalLayoutStrategy"
2064textVec [
2065*68 (Text
2066va (VaSet
2067font "Arial,8,1"
2068)
2069xt "500,3500,3400,4500"
2070st "Library"
2071blo "500,4300"
2072)
2073*69 (Text
2074va (VaSet
2075font "Arial,8,1"
2076)
2077xt "500,4500,7500,5500"
2078st "VhdlComponent"
2079blo "500,5300"
2080)
2081*70 (Text
2082va (VaSet
2083font "Arial,8,1"
2084)
2085xt "500,5500,2300,6500"
2086st "U_0"
2087blo "500,6300"
2088tm "InstanceNameMgr"
2089)
2090]
2091)
2092ga (GenericAssociation
2093ps "EdgeToEdgeStrategy"
2094matrix (Matrix
2095text (MLText
2096va (VaSet
2097font "Courier New,8,0"
2098)
2099xt "-6500,1500,-6500,1500"
2100)
2101header ""
2102)
2103elements [
2104]
2105)
2106portVis (PortSigDisplay
2107)
2108entityPath ""
2109archName ""
2110archPath ""
2111)
2112defaultVerilogComponent (VerilogComponent
2113shape (Rectangle
2114va (VaSet
2115vasetType 1
2116fg "0,65535,0"
2117lineColor "0,32896,0"
2118lineWidth 2
2119)
2120xt "-450,0,8450,10000"
2121)
2122ttg (MlTextGroup
2123ps "CenterOffsetStrategy"
2124stg "VerticalLayoutStrategy"
2125textVec [
2126*71 (Text
2127va (VaSet
2128font "Arial,8,1"
2129)
2130xt "50,3500,2950,4500"
2131st "Library"
2132blo "50,4300"
2133)
2134*72 (Text
2135va (VaSet
2136font "Arial,8,1"
2137)
2138xt "50,4500,7950,5500"
2139st "VerilogComponent"
2140blo "50,5300"
2141)
2142*73 (Text
2143va (VaSet
2144font "Arial,8,1"
2145)
2146xt "50,5500,1850,6500"
2147st "U_0"
2148blo "50,6300"
2149tm "InstanceNameMgr"
2150)
2151]
2152)
2153ga (GenericAssociation
2154ps "EdgeToEdgeStrategy"
2155matrix (Matrix
2156text (MLText
2157va (VaSet
2158font "Courier New,8,0"
2159)
2160xt "-6950,1500,-6950,1500"
2161)
2162header ""
2163)
2164elements [
2165]
2166)
2167entityPath ""
2168)
2169defaultHdlText (HdlText
2170shape (Rectangle
2171va (VaSet
2172vasetType 1
2173fg "65535,65535,37120"
2174lineColor "0,0,32768"
2175lineWidth 2
2176)
2177xt "0,0,8000,10000"
2178)
2179ttg (MlTextGroup
2180ps "CenterOffsetStrategy"
2181stg "VerticalLayoutStrategy"
2182textVec [
2183*74 (Text
2184va (VaSet
2185font "Arial,8,1"
2186)
2187xt "3150,4000,4850,5000"
2188st "eb1"
2189blo "3150,4800"
2190tm "HdlTextNameMgr"
2191)
2192*75 (Text
2193va (VaSet
2194font "Arial,8,1"
2195)
2196xt "3150,5000,3950,6000"
2197st "1"
2198blo "3150,5800"
2199tm "HdlTextNumberMgr"
2200)
2201]
2202)
2203viewicon (ZoomableIcon
2204sl 0
2205va (VaSet
2206vasetType 1
2207fg "49152,49152,49152"
2208)
2209xt "0,0,1500,1500"
2210iconName "UnknownFile.png"
2211iconMaskName "UnknownFile.msk"
2212)
2213viewiconposition 0
2214)
2215defaultEmbeddedText (EmbeddedText
2216commentText (CommentText
2217ps "CenterOffsetStrategy"
2218shape (Rectangle
2219va (VaSet
2220vasetType 1
2221fg "65535,65535,65535"
2222lineColor "0,0,32768"
2223lineWidth 2
2224)
2225xt "0,0,18000,5000"
2226)
2227text (MLText
2228va (VaSet
2229)
2230xt "200,200,2000,1200"
2231st "
2232Text
2233"
2234tm "HdlTextMgr"
2235wrapOption 3
2236visibleHeight 4600
2237visibleWidth 17600
2238)
2239)
2240)
2241defaultGlobalConnector (GlobalConnector
2242shape (Circle
2243va (VaSet
2244vasetType 1
2245fg "65535,65535,0"
2246)
2247xt "-1000,-1000,1000,1000"
2248radius 1000
2249)
2250name (Text
2251va (VaSet
2252font "Arial,8,1"
2253)
2254xt "-500,-500,500,500"
2255st "G"
2256blo "-500,300"
2257)
2258)
2259defaultRipper (Ripper
2260ps "OnConnectorStrategy"
2261shape (Line2D
2262pts [
2263"0,0"
2264"1000,1000"
2265]
2266va (VaSet
2267vasetType 1
2268)
2269xt "0,0,1000,1000"
2270)
2271)
2272defaultBdJunction (BdJunction
2273ps "OnConnectorStrategy"
2274shape (Circle
2275va (VaSet
2276vasetType 1
2277)
2278xt "-400,-400,400,400"
2279radius 400
2280)
2281)
2282defaultPortIoIn (PortIoIn
2283shape (CompositeShape
2284va (VaSet
2285vasetType 1
2286fg "0,0,32768"
2287)
2288optionalChildren [
2289(Pentagon
2290sl 0
2291ro 270
2292xt "-2000,-375,-500,375"
2293)
2294(Line
2295sl 0
2296ro 270
2297xt "-500,0,0,0"
2298pts [
2299"-500,0"
2300"0,0"
2301]
2302)
2303]
2304)
2305stc 0
2306sf 1
2307tg (WTG
2308ps "PortIoTextPlaceStrategy"
2309stg "STSignalDisplayStrategy"
2310f (Text
2311va (VaSet
2312)
2313xt "-1375,-1000,-1375,-1000"
2314ju 2
2315blo "-1375,-1000"
2316tm "WireNameMgr"
2317)
2318)
2319)
2320defaultPortIoOut (PortIoOut
2321shape (CompositeShape
2322va (VaSet
2323vasetType 1
2324fg "0,0,32768"
2325)
2326optionalChildren [
2327(Pentagon
2328sl 0
2329ro 270
2330xt "500,-375,2000,375"
2331)
2332(Line
2333sl 0
2334ro 270
2335xt "0,0,500,0"
2336pts [
2337"0,0"
2338"500,0"
2339]
2340)
2341]
2342)
2343stc 0
2344sf 1
2345tg (WTG
2346ps "PortIoTextPlaceStrategy"
2347stg "STSignalDisplayStrategy"
2348f (Text
2349va (VaSet
2350)
2351xt "625,-1000,625,-1000"
2352blo "625,-1000"
2353tm "WireNameMgr"
2354)
2355)
2356)
2357defaultPortIoInOut (PortIoInOut
2358shape (CompositeShape
2359va (VaSet
2360vasetType 1
2361fg "0,0,32768"
2362)
2363optionalChildren [
2364(Hexagon
2365sl 0
2366xt "500,-375,2000,375"
2367)
2368(Line
2369sl 0
2370xt "0,0,500,0"
2371pts [
2372"0,0"
2373"500,0"
2374]
2375)
2376]
2377)
2378stc 0
2379sf 1
2380tg (WTG
2381ps "PortIoTextPlaceStrategy"
2382stg "STSignalDisplayStrategy"
2383f (Text
2384va (VaSet
2385)
2386xt "0,-375,0,-375"
2387blo "0,-375"
2388tm "WireNameMgr"
2389)
2390)
2391)
2392defaultPortIoBuffer (PortIoBuffer
2393shape (CompositeShape
2394va (VaSet
2395vasetType 1
2396fg "65535,65535,65535"
2397lineColor "0,0,32768"
2398)
2399optionalChildren [
2400(Hexagon
2401sl 0
2402xt "500,-375,2000,375"
2403)
2404(Line
2405sl 0
2406xt "0,0,500,0"
2407pts [
2408"0,0"
2409"500,0"
2410]
2411)
2412]
2413)
2414stc 0
2415sf 1
2416tg (WTG
2417ps "PortIoTextPlaceStrategy"
2418stg "STSignalDisplayStrategy"
2419f (Text
2420va (VaSet
2421)
2422xt "0,-375,0,-375"
2423blo "0,-375"
2424tm "WireNameMgr"
2425)
2426)
2427)
2428defaultSignal (Wire
2429shape (OrthoPolyLine
2430va (VaSet
2431vasetType 3
2432)
2433pts [
2434"0,0"
2435"0,0"
2436]
2437)
2438ss 0
2439es 0
2440sat 32
2441eat 32
2442st 0
2443sf 1
2444si 0
2445tg (WTG
2446ps "ConnStartEndStrategy"
2447stg "STSignalDisplayStrategy"
2448f (Text
2449va (VaSet
2450)
2451xt "0,0,1900,1000"
2452st "sig0"
2453blo "0,800"
2454tm "WireNameMgr"
2455)
2456)
2457)
2458defaultBus (Wire
2459shape (OrthoPolyLine
2460va (VaSet
2461vasetType 3
2462lineWidth 2
2463)
2464pts [
2465"0,0"
2466"0,0"
2467]
2468)
2469ss 0
2470es 0
2471sat 32
2472eat 32
2473sty 1
2474st 0
2475sf 1
2476si 0
2477tg (WTG
2478ps "ConnStartEndStrategy"
2479stg "STSignalDisplayStrategy"
2480f (Text
2481va (VaSet
2482)
2483xt "0,0,2400,1000"
2484st "dbus0"
2485blo "0,800"
2486tm "WireNameMgr"
2487)
2488)
2489)
2490defaultBundle (Bundle
2491shape (OrthoPolyLine
2492va (VaSet
2493vasetType 3
2494lineColor "32768,0,0"
2495lineWidth 2
2496)
2497pts [
2498"0,0"
2499"0,0"
2500]
2501)
2502ss 0
2503es 0
2504sat 32
2505eat 32
2506textGroup (BiTextGroup
2507ps "ConnStartEndStrategy"
2508stg "VerticalLayoutStrategy"
2509first (Text
2510va (VaSet
2511)
2512xt "0,0,3000,1000"
2513st "bundle0"
2514blo "0,800"
2515tm "BundleNameMgr"
2516)
2517second (MLText
2518va (VaSet
2519)
2520xt "0,1000,1000,2000"
2521st "()"
2522tm "BundleContentsMgr"
2523)
2524)
2525bundleNet &0
2526)
2527defaultPortMapFrame (PortMapFrame
2528ps "PortMapFrameStrategy"
2529shape (RectFrame
2530va (VaSet
2531vasetType 1
2532fg "65535,65535,65535"
2533lineColor "0,0,32768"
2534lineWidth 2
2535)
2536xt "0,0,10000,12000"
2537)
2538portMapText (BiTextGroup
2539ps "BottomRightOffsetStrategy"
2540stg "VerticalLayoutStrategy"
2541first (MLText
2542va (VaSet
2543)
2544)
2545second (MLText
2546va (VaSet
2547)
2548tm "PortMapTextMgr"
2549)
2550)
2551)
2552defaultGenFrame (Frame
2553shape (RectFrame
2554va (VaSet
2555vasetType 1
2556fg "65535,65535,65535"
2557lineColor "26368,26368,26368"
2558lineStyle 2
2559lineWidth 3
2560)
2561xt "0,0,20000,20000"
2562)
2563title (TextAssociate
2564ps "TopLeftStrategy"
2565text (MLText
2566va (VaSet
2567)
2568xt "0,-1100,12600,-100"
2569st "g0: FOR i IN 0 TO n GENERATE"
2570tm "FrameTitleTextMgr"
2571)
2572)
2573seqNum (FrameSequenceNumber
2574ps "TopLeftStrategy"
2575shape (Rectangle
2576va (VaSet
2577vasetType 1
2578fg "65535,65535,65535"
2579)
2580xt "50,50,1250,1450"
2581)
2582num (Text
2583va (VaSet
2584)
2585xt "250,250,1050,1250"
2586st "1"
2587blo "250,1050"
2588tm "FrameSeqNumMgr"
2589)
2590)
2591decls (MlTextGroup
2592ps "BottomRightOffsetStrategy"
2593stg "VerticalLayoutStrategy"
2594textVec [
2595*76 (Text
2596va (VaSet
2597font "Arial,8,1"
2598)
2599xt "14100,20000,22000,21000"
2600st "Frame Declarations"
2601blo "14100,20800"
2602)
2603*77 (MLText
2604va (VaSet
2605)
2606xt "14100,21000,14100,21000"
2607tm "BdFrameDeclTextMgr"
2608)
2609]
2610)
2611)
2612defaultBlockFrame (Frame
2613shape (RectFrame
2614va (VaSet
2615vasetType 1
2616fg "65535,65535,65535"
2617lineColor "26368,26368,26368"
2618lineStyle 1
2619lineWidth 3
2620)
2621xt "0,0,20000,20000"
2622)
2623title (TextAssociate
2624ps "TopLeftStrategy"
2625text (MLText
2626va (VaSet
2627)
2628xt "0,-1100,7400,-100"
2629st "b0: BLOCK (guard)"
2630tm "FrameTitleTextMgr"
2631)
2632)
2633seqNum (FrameSequenceNumber
2634ps "TopLeftStrategy"
2635shape (Rectangle
2636va (VaSet
2637vasetType 1
2638fg "65535,65535,65535"
2639)
2640xt "50,50,1250,1450"
2641)
2642num (Text
2643va (VaSet
2644)
2645xt "250,250,1050,1250"
2646st "1"
2647blo "250,1050"
2648tm "FrameSeqNumMgr"
2649)
2650)
2651decls (MlTextGroup
2652ps "BottomRightOffsetStrategy"
2653stg "VerticalLayoutStrategy"
2654textVec [
2655*78 (Text
2656va (VaSet
2657font "Arial,8,1"
2658)
2659xt "14100,20000,22000,21000"
2660st "Frame Declarations"
2661blo "14100,20800"
2662)
2663*79 (MLText
2664va (VaSet
2665)
2666xt "14100,21000,14100,21000"
2667tm "BdFrameDeclTextMgr"
2668)
2669]
2670)
2671style 3
2672)
2673defaultSaCptPort (CptPort
2674ps "OnEdgeStrategy"
2675shape (Triangle
2676ro 90
2677va (VaSet
2678vasetType 1
2679fg "0,65535,0"
2680)
2681xt "0,0,750,750"
2682)
2683tg (CPTG
2684ps "CptPortTextPlaceStrategy"
2685stg "VerticalLayoutStrategy"
2686f (Text
2687va (VaSet
2688)
2689xt "0,750,1800,1750"
2690st "Port"
2691blo "0,1550"
2692)
2693)
2694thePort (LogicalPort
2695decl (Decl
2696n "Port"
2697t ""
2698o 0
2699)
2700)
2701)
2702defaultSaCptPortBuffer (CptPort
2703ps "OnEdgeStrategy"
2704shape (Diamond
2705va (VaSet
2706vasetType 1
2707fg "65535,65535,65535"
2708)
2709xt "0,0,750,750"
2710)
2711tg (CPTG
2712ps "CptPortTextPlaceStrategy"
2713stg "VerticalLayoutStrategy"
2714f (Text
2715va (VaSet
2716)
2717xt "0,750,1800,1750"
2718st "Port"
2719blo "0,1550"
2720)
2721)
2722thePort (LogicalPort
2723m 3
2724decl (Decl
2725n "Port"
2726t ""
2727o 0
2728)
2729)
2730)
2731defaultDeclText (MLText
2732va (VaSet
2733font "Courier New,8,0"
2734)
2735)
2736archDeclarativeBlock (BdArchDeclBlock
2737uid 1,0
2738stg "BdArchDeclBlockLS"
2739declLabel (Text
2740uid 2,0
2741va (VaSet
2742font "Arial,8,1"
2743)
2744xt "20000,0,25400,1000"
2745st "Declarations"
2746blo "20000,800"
2747)
2748portLabel (Text
2749uid 3,0
2750va (VaSet
2751font "Arial,8,1"
2752)
2753xt "20000,1000,22700,2000"
2754st "Ports:"
2755blo "20000,1800"
2756)
2757preUserLabel (Text
2758uid 4,0
2759va (VaSet
2760isHidden 1
2761font "Arial,8,1"
2762)
2763xt "20000,0,23800,1000"
2764st "Pre User:"
2765blo "20000,800"
2766)
2767preUserText (MLText
2768uid 5,0
2769va (VaSet
2770isHidden 1
2771font "Courier New,8,0"
2772)
2773xt "20000,0,20000,0"
2774tm "BdDeclarativeTextMgr"
2775)
2776diagSignalLabel (Text
2777uid 6,0
2778va (VaSet
2779font "Arial,8,1"
2780)
2781xt "20000,2000,27100,3000"
2782st "Diagram Signals:"
2783blo "20000,2800"
2784)
2785postUserLabel (Text
2786uid 7,0
2787va (VaSet
2788isHidden 1
2789font "Arial,8,1"
2790)
2791xt "20000,0,24700,1000"
2792st "Post User:"
2793blo "20000,800"
2794)
2795postUserText (MLText
2796uid 8,0
2797va (VaSet
2798isHidden 1
2799font "Courier New,8,0"
2800)
2801xt "20000,0,20000,0"
2802tm "BdDeclarativeTextMgr"
2803)
2804)
2805commonDM (CommonDM
2806ldm (LogicalDM
2807suid 6,0
2808usingSuid 1
2809emptyRow *80 (LEmptyRow
2810)
2811uid 206,0
2812optionalChildren [
2813*81 (RefLabelRowHdr
2814)
2815*82 (TitleRowHdr
2816)
2817*83 (FilterRowHdr
2818)
2819*84 (RefLabelColHdr
2820tm "RefLabelColHdrMgr"
2821)
2822*85 (RowExpandColHdr
2823tm "RowExpandColHdrMgr"
2824)
2825*86 (GroupColHdr
2826tm "GroupColHdrMgr"
2827)
2828*87 (NameColHdr
2829tm "BlockDiagramNameColHdrMgr"
2830)
2831*88 (ModeColHdr
2832tm "BlockDiagramModeColHdrMgr"
2833)
2834*89 (TypeColHdr
2835tm "BlockDiagramTypeColHdrMgr"
2836)
2837*90 (BoundsColHdr
2838tm "BlockDiagramBoundsColHdrMgr"
2839)
2840*91 (InitColHdr
2841tm "BlockDiagramInitColHdrMgr"
2842)
2843*92 (EolColHdr
2844tm "BlockDiagramEolColHdrMgr"
2845)
2846*93 (LeafLogPort
2847port (LogicalPort
2848lang 10
2849m 4
2850decl (Decl
2851n "remainder"
2852t "std_logic_vector"
2853b "(2 DOWNTO 0)"
2854o 1
2855suid 1,0
2856)
2857)
2858uid 181,0
2859)
2860*94 (LeafLogPort
2861port (LogicalPort
2862lang 10
2863m 4
2864decl (Decl
2865n "started"
2866t "std_logic"
2867o 2
2868suid 2,0
2869)
2870)
2871uid 183,0
2872)
2873*95 (LeafLogPort
2874port (LogicalPort
2875lang 10
2876m 4
2877decl (Decl
2878n "valid"
2879t "std_logic"
2880o 3
2881suid 3,0
2882)
2883)
2884uid 185,0
2885)
2886*96 (LeafLogPort
2887port (LogicalPort
2888m 4
2889decl (Decl
2890n "clk"
2891t "std_logic"
2892o 4
2893suid 4,0
2894)
2895)
2896uid 187,0
2897)
2898*97 (LeafLogPort
2899port (LogicalPort
2900lang 10
2901m 4
2902decl (Decl
2903n "number"
2904t "std_logic_vector"
2905b "(31 DOWNTO 0)"
2906o 5
2907suid 5,0
2908)
2909)
2910uid 189,0
2911)
2912*98 (LeafLogPort
2913port (LogicalPort
2914m 4
2915decl (Decl
2916n "start"
2917t "std_logic"
2918o 6
2919suid 6,0
2920)
2921)
2922uid 191,0
2923)
2924]
2925)
2926pdm (PhysicalDM
2927displayShortBounds 1
2928editShortBounds 1
2929uid 219,0
2930optionalChildren [
2931*99 (Sheet
2932sheetRow (SheetRow
2933headerVa (MVa
2934cellColor "49152,49152,49152"
2935fontColor "0,0,0"
2936font "Tahoma,10,0"
2937)
2938cellVa (MVa
2939cellColor "65535,65535,65535"
2940fontColor "0,0,0"
2941font "Tahoma,10,0"
2942)
2943groupVa (MVa
2944cellColor "39936,56832,65280"
2945fontColor "0,0,0"
2946font "Tahoma,10,0"
2947)
2948emptyMRCItem *100 (MRCItem
2949litem &80
2950pos 6
2951dimension 20
2952)
2953uid 221,0
2954optionalChildren [
2955*101 (MRCItem
2956litem &81
2957pos 0
2958dimension 20
2959uid 222,0
2960)
2961*102 (MRCItem
2962litem &82
2963pos 1
2964dimension 23
2965uid 223,0
2966)
2967*103 (MRCItem
2968litem &83
2969pos 2
2970hidden 1
2971dimension 20
2972uid 224,0
2973)
2974*104 (MRCItem
2975litem &93
2976pos 0
2977dimension 20
2978uid 182,0
2979)
2980*105 (MRCItem
2981litem &94
2982pos 1
2983dimension 20
2984uid 184,0
2985)
2986*106 (MRCItem
2987litem &95
2988pos 2
2989dimension 20
2990uid 186,0
2991)
2992*107 (MRCItem
2993litem &96
2994pos 3
2995dimension 20
2996uid 188,0
2997)
2998*108 (MRCItem
2999litem &97
3000pos 4
3001dimension 20
3002uid 190,0
3003)
3004*109 (MRCItem
3005litem &98
3006pos 5
3007dimension 20
3008uid 192,0
3009)
3010]
3011)
3012sheetCol (SheetCol
3013propVa (MVa
3014cellColor "0,49152,49152"
3015fontColor "0,0,0"
3016font "Tahoma,10,0"
3017textAngle 90
3018)
3019uid 225,0
3020optionalChildren [
3021*110 (MRCItem
3022litem &84
3023pos 0
3024dimension 20
3025uid 226,0
3026)
3027*111 (MRCItem
3028litem &86
3029pos 1
3030dimension 50
3031uid 227,0
3032)
3033*112 (MRCItem
3034litem &87
3035pos 2
3036dimension 100
3037uid 228,0
3038)
3039*113 (MRCItem
3040litem &88
3041pos 3
3042dimension 50
3043uid 229,0
3044)
3045*114 (MRCItem
3046litem &89
3047pos 4
3048dimension 100
3049uid 230,0
3050)
3051*115 (MRCItem
3052litem &90
3053pos 5
3054dimension 100
3055uid 231,0
3056)
3057*116 (MRCItem
3058litem &91
3059pos 6
3060dimension 50
3061uid 232,0
3062)
3063*117 (MRCItem
3064litem &92
3065pos 7
3066dimension 80
3067uid 233,0
3068)
3069]
3070)
3071fixedCol 4
3072fixedRow 2
3073name "Ports"
3074uid 220,0
3075vaOverrides [
3076]
3077)
3078]
3079)
3080uid 205,0
3081)
3082genericsCommonDM (CommonDM
3083ldm (LogicalDM
3084emptyRow *118 (LEmptyRow
3085)
3086uid 235,0
3087optionalChildren [
3088*119 (RefLabelRowHdr
3089)
3090*120 (TitleRowHdr
3091)
3092*121 (FilterRowHdr
3093)
3094*122 (RefLabelColHdr
3095tm "RefLabelColHdrMgr"
3096)
3097*123 (RowExpandColHdr
3098tm "RowExpandColHdrMgr"
3099)
3100*124 (GroupColHdr
3101tm "GroupColHdrMgr"
3102)
3103*125 (NameColHdr
3104tm "GenericNameColHdrMgr"
3105)
3106*126 (TypeColHdr
3107tm "GenericTypeColHdrMgr"
3108)
3109*127 (InitColHdr
3110tm "GenericValueColHdrMgr"
3111)
3112*128 (PragmaColHdr
3113tm "GenericPragmaColHdrMgr"
3114)
3115*129 (EolColHdr
3116tm "GenericEolColHdrMgr"
3117)
3118]
3119)
3120pdm (PhysicalDM
3121displayShortBounds 1
3122editShortBounds 1
3123uid 247,0
3124optionalChildren [
3125*130 (Sheet
3126sheetRow (SheetRow
3127headerVa (MVa
3128cellColor "49152,49152,49152"
3129fontColor "0,0,0"
3130font "Tahoma,10,0"
3131)
3132cellVa (MVa
3133cellColor "65535,65535,65535"
3134fontColor "0,0,0"
3135font "Tahoma,10,0"
3136)
3137groupVa (MVa
3138cellColor "39936,56832,65280"
3139fontColor "0,0,0"
3140font "Tahoma,10,0"
3141)
3142emptyMRCItem *131 (MRCItem
3143litem &118
3144pos 0
3145dimension 20
3146)
3147uid 249,0
3148optionalChildren [
3149*132 (MRCItem
3150litem &119
3151pos 0
3152dimension 20
3153uid 250,0
3154)
3155*133 (MRCItem
3156litem &120
3157pos 1
3158dimension 23
3159uid 251,0
3160)
3161*134 (MRCItem
3162litem &121
3163pos 2
3164hidden 1
3165dimension 20
3166uid 252,0
3167)
3168]
3169)
3170sheetCol (SheetCol
3171propVa (MVa
3172cellColor "0,49152,49152"
3173fontColor "0,0,0"
3174font "Tahoma,10,0"
3175textAngle 90
3176)
3177uid 253,0
3178optionalChildren [
3179*135 (MRCItem
3180litem &122
3181pos 0
3182dimension 20
3183uid 254,0
3184)
3185*136 (MRCItem
3186litem &124
3187pos 1
3188dimension 50
3189uid 255,0
3190)
3191*137 (MRCItem
3192litem &125
3193pos 2
3194dimension 100
3195uid 256,0
3196)
3197*138 (MRCItem
3198litem &126
3199pos 3
3200dimension 100
3201uid 257,0
3202)
3203*139 (MRCItem
3204litem &127
3205pos 4
3206dimension 50
3207uid 258,0
3208)
3209*140 (MRCItem
3210litem &128
3211pos 5
3212dimension 50
3213uid 259,0
3214)
3215*141 (MRCItem
3216litem &129
3217pos 6
3218dimension 80
3219uid 260,0
3220)
3221]
3222)
3223fixedCol 3
3224fixedRow 2
3225name "Ports"
3226uid 248,0
3227vaOverrides [
3228]
3229)
3230]
3231)
3232uid 234,0
3233type 1
3234)
3235activeModelName "BlockDiag"
3236)
Note: See TracBrowser for help on using the repository browser.