source: firmware/FAD/FACT_FAD_TB_lib/hds/mod7_tb/symbol.sb.bak

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 16.5 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8)
9(DmPackageRef
10library "ieee"
11unitName "std_logic_arith"
12)
13]
14libraryRefs [
15"ieee"
16]
17)
18version "24.1"
19appVersion "2009.2 (Build 10)"
20model (Symbol
21commonDM (CommonDM
22ldm (LogicalDM
23usingSuid 1
24emptyRow *1 (LEmptyRow
25)
26optionalChildren [
27*2 (RefLabelRowHdr
28)
29*3 (TitleRowHdr
30)
31*4 (FilterRowHdr
32)
33*5 (RefLabelColHdr
34tm "RefLabelColHdrMgr"
35)
36*6 (RowExpandColHdr
37tm "RowExpandColHdrMgr"
38)
39*7 (GroupColHdr
40tm "GroupColHdrMgr"
41)
42*8 (NameColHdr
43tm "NameColHdrMgr"
44)
45*9 (ModeColHdr
46tm "ModeColHdrMgr"
47)
48*10 (TypeColHdr
49tm "TypeColHdrMgr"
50)
51*11 (BoundsColHdr
52tm "BoundsColHdrMgr"
53)
54*12 (InitColHdr
55tm "InitColHdrMgr"
56)
57*13 (EolColHdr
58tm "EolColHdrMgr"
59)
60]
61)
62pdm (PhysicalDM
63displayShortBounds 1
64editShortBounds 1
65optionalChildren [
66*14 (Sheet
67sheetRow (SheetRow
68headerVa (MVa
69cellColor "49152,49152,49152"
70fontColor "0,0,0"
71font "Tahoma,10,0"
72)
73cellVa (MVa
74cellColor "65535,65535,65535"
75fontColor "0,0,0"
76font "Tahoma,10,0"
77)
78groupVa (MVa
79cellColor "39936,56832,65280"
80fontColor "0,0,0"
81font "Tahoma,10,0"
82)
83emptyMRCItem *15 (MRCItem
84litem &1
85pos 3
86dimension 20
87)
88optionalChildren [
89*16 (MRCItem
90litem &2
91pos 0
92dimension 20
93)
94*17 (MRCItem
95litem &3
96pos 1
97dimension 23
98)
99*18 (MRCItem
100litem &4
101pos 2
102hidden 1
103dimension 20
104)
105]
106)
107sheetCol (SheetCol
108propVa (MVa
109cellColor "0,49152,49152"
110fontColor "0,0,0"
111font "Tahoma,10,0"
112textAngle 90
113)
114optionalChildren [
115*19 (MRCItem
116litem &5
117pos 0
118dimension 20
119)
120*20 (MRCItem
121litem &7
122pos 1
123dimension 50
124)
125*21 (MRCItem
126litem &8
127pos 2
128dimension 100
129)
130*22 (MRCItem
131litem &9
132pos 3
133dimension 50
134)
135*23 (MRCItem
136litem &10
137pos 4
138dimension 100
139)
140*24 (MRCItem
141litem &11
142pos 5
143dimension 100
144)
145*25 (MRCItem
146litem &12
147pos 6
148dimension 50
149)
150*26 (MRCItem
151litem &13
152pos 7
153dimension 80
154)
155]
156)
157fixedCol 4
158fixedRow 2
159name "Ports"
160vaOverrides [
161]
162)
163]
164)
165)
166genericsCommonDM (CommonDM
167ldm (LogicalDM
168emptyRow *27 (LEmptyRow
169)
170optionalChildren [
171*28 (RefLabelRowHdr
172)
173*29 (TitleRowHdr
174)
175*30 (FilterRowHdr
176)
177*31 (RefLabelColHdr
178tm "RefLabelColHdrMgr"
179)
180*32 (RowExpandColHdr
181tm "RowExpandColHdrMgr"
182)
183*33 (GroupColHdr
184tm "GroupColHdrMgr"
185)
186*34 (NameColHdr
187tm "GenericNameColHdrMgr"
188)
189*35 (TypeColHdr
190tm "GenericTypeColHdrMgr"
191)
192*36 (InitColHdr
193tm "GenericValueColHdrMgr"
194)
195*37 (PragmaColHdr
196tm "GenericPragmaColHdrMgr"
197)
198*38 (EolColHdr
199tm "GenericEolColHdrMgr"
200)
201]
202)
203pdm (PhysicalDM
204displayShortBounds 1
205editShortBounds 1
206optionalChildren [
207*39 (Sheet
208sheetRow (SheetRow
209headerVa (MVa
210cellColor "49152,49152,49152"
211fontColor "0,0,0"
212font "Tahoma,10,0"
213)
214cellVa (MVa
215cellColor "65535,65535,65535"
216fontColor "0,0,0"
217font "Tahoma,10,0"
218)
219groupVa (MVa
220cellColor "39936,56832,65280"
221fontColor "0,0,0"
222font "Tahoma,10,0"
223)
224emptyMRCItem *40 (MRCItem
225litem &27
226pos 3
227dimension 20
228)
229optionalChildren [
230*41 (MRCItem
231litem &28
232pos 0
233dimension 20
234)
235*42 (MRCItem
236litem &29
237pos 1
238dimension 23
239)
240*43 (MRCItem
241litem &30
242pos 2
243hidden 1
244dimension 20
245)
246]
247)
248sheetCol (SheetCol
249propVa (MVa
250cellColor "0,49152,49152"
251fontColor "0,0,0"
252font "Tahoma,10,0"
253textAngle 90
254)
255optionalChildren [
256*44 (MRCItem
257litem &31
258pos 0
259dimension 20
260)
261*45 (MRCItem
262litem &33
263pos 1
264dimension 50
265)
266*46 (MRCItem
267litem &34
268pos 2
269dimension 100
270)
271*47 (MRCItem
272litem &35
273pos 3
274dimension 100
275)
276*48 (MRCItem
277litem &36
278pos 4
279dimension 50
280)
281*49 (MRCItem
282litem &37
283pos 5
284dimension 50
285)
286*50 (MRCItem
287litem &38
288pos 6
289dimension 80
290)
291]
292)
293fixedCol 3
294fixedRow 2
295name "Ports"
296vaOverrides [
297]
298)
299]
300)
301type 1
302)
303VExpander (VariableExpander
304vvMap [
305(vvPair
306variable "HDLDir"
307value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
308)
309(vvPair
310variable "HDSDir"
311value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
312)
313(vvPair
314variable "SideDataDesignDir"
315value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb\\symbol.sb.info"
316)
317(vvPair
318variable "SideDataUserDir"
319value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb\\symbol.sb.user"
320)
321(vvPair
322variable "SourceDir"
323value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
324)
325(vvPair
326variable "appl"
327value "HDL Designer"
328)
329(vvPair
330variable "arch_name"
331value "symbol"
332)
333(vvPair
334variable "config"
335value "%(unit)_%(view)_config"
336)
337(vvPair
338variable "d"
339value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb"
340)
341(vvPair
342variable "d_logical"
343value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb"
344)
345(vvPair
346variable "date"
347value "16.02.2011"
348)
349(vvPair
350variable "day"
351value "Mi"
352)
353(vvPair
354variable "day_long"
355value "Mittwoch"
356)
357(vvPair
358variable "dd"
359value "16"
360)
361(vvPair
362variable "entity_name"
363value "mod7_tb"
364)
365(vvPair
366variable "ext"
367value "<TBD>"
368)
369(vvPair
370variable "f"
371value "symbol.sb"
372)
373(vvPair
374variable "f_logical"
375value "symbol.sb"
376)
377(vvPair
378variable "f_noext"
379value "symbol"
380)
381(vvPair
382variable "group"
383value "UNKNOWN"
384)
385(vvPair
386variable "host"
387value "E5B-LABOR6"
388)
389(vvPair
390variable "language"
391value "VHDL"
392)
393(vvPair
394variable "library"
395value "FACT_FAD_TB_lib"
396)
397(vvPair
398variable "library_downstream_ISEPARInvoke"
399value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
400)
401(vvPair
402variable "library_downstream_ImpactInvoke"
403value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
404)
405(vvPair
406variable "library_downstream_ModelSimCompiler"
407value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
408)
409(vvPair
410variable "library_downstream_XSTDataPrep"
411value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
412)
413(vvPair
414variable "mm"
415value "02"
416)
417(vvPair
418variable "module_name"
419value "mod7_tb"
420)
421(vvPair
422variable "month"
423value "Feb"
424)
425(vvPair
426variable "month_long"
427value "Februar"
428)
429(vvPair
430variable "p"
431value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb\\symbol.sb"
432)
433(vvPair
434variable "p_logical"
435value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\mod7_tb\\symbol.sb"
436)
437(vvPair
438variable "package_name"
439value "<Undefined Variable>"
440)
441(vvPair
442variable "project_name"
443value "FACT_FAD"
444)
445(vvPair
446variable "series"
447value "HDL Designer Series"
448)
449(vvPair
450variable "task_DesignCompilerPath"
451value "<TBD>"
452)
453(vvPair
454variable "task_LeonardoPath"
455value "<TBD>"
456)
457(vvPair
458variable "task_ModelSimPath"
459value "C:\\modeltech_6.6a\\win32"
460)
461(vvPair
462variable "task_NC-SimPath"
463value "<TBD>"
464)
465(vvPair
466variable "task_PrecisionRTLPath"
467value "<TBD>"
468)
469(vvPair
470variable "task_QuestaSimPath"
471value "<TBD>"
472)
473(vvPair
474variable "task_VCSPath"
475value "<TBD>"
476)
477(vvPair
478variable "this_ext"
479value "sb"
480)
481(vvPair
482variable "this_file"
483value "symbol"
484)
485(vvPair
486variable "this_file_logical"
487value "symbol"
488)
489(vvPair
490variable "time"
491value "13:24:31"
492)
493(vvPair
494variable "unit"
495value "mod7_tb"
496)
497(vvPair
498variable "user"
499value "dneise"
500)
501(vvPair
502variable "version"
503value "2009.2 (Build 10)"
504)
505(vvPair
506variable "view"
507value "symbol"
508)
509(vvPair
510variable "year"
511value "2011"
512)
513(vvPair
514variable "yy"
515value "11"
516)
517]
518)
519LanguageMgr "VhdlLangMgr"
520optionalChildren [
521*51 (SymbolBody
522uid 8,0
523shape (Rectangle
524uid 9,0
525va (VaSet
526vasetType 1
527fg "0,65535,0"
528lineColor "0,32896,0"
529lineWidth 2
530)
531xt "15000,6000,33000,26000"
532)
533biTextGroup (BiTextGroup
534uid 10,0
535ps "CenterOffsetStrategy"
536stg "VerticalLayoutStrategy"
537first (Text
538uid 11,0
539va (VaSet
540font "Arial,8,1"
541)
542xt "22200,15000,29900,16000"
543st "FACT_FAD_TB_lib"
544blo "22200,15800"
545)
546second (Text
547uid 12,0
548va (VaSet
549font "Arial,8,1"
550)
551xt "22200,16000,25900,17000"
552st "mod7_tb"
553blo "22200,16800"
554)
555)
556gi *52 (GenericInterface
557uid 13,0
558ps "CenterOffsetStrategy"
559matrix (Matrix
560uid 14,0
561text (MLText
562uid 15,0
563va (VaSet
564font "Courier New,8,0"
565)
566xt "0,12000,11500,12800"
567st "Generic Declarations"
568)
569header "Generic Declarations"
570showHdrWhenContentsEmpty 1
571)
572elements [
573]
574)
575portInstanceVisAsIs 1
576portInstanceVis (PortSigDisplay
577sIVOD 1
578)
579portVis (PortSigDisplay
580sIVOD 1
581)
582)
583*53 (Grouping
584uid 16,0
585optionalChildren [
586*54 (CommentText
587uid 18,0
588shape (Rectangle
589uid 19,0
590sl 0
591va (VaSet
592vasetType 1
593fg "65280,65280,46080"
594)
595xt "36000,48000,53000,49000"
596)
597oxt "18000,70000,35000,71000"
598text (MLText
599uid 20,0
600va (VaSet
601fg "0,0,32768"
602bg "0,0,32768"
603)
604xt "36200,48000,45800,49000"
605st "
606by %user on %dd %month %year
607"
608tm "CommentText"
609wrapOption 3
610visibleHeight 1000
611visibleWidth 17000
612)
613position 1
614ignorePrefs 1
615titleBlock 1
616)
617*55 (CommentText
618uid 21,0
619shape (Rectangle
620uid 22,0
621sl 0
622va (VaSet
623vasetType 1
624fg "65280,65280,46080"
625)
626xt "53000,44000,57000,45000"
627)
628oxt "35000,66000,39000,67000"
629text (MLText
630uid 23,0
631va (VaSet
632fg "0,0,32768"
633bg "0,0,32768"
634)
635xt "53200,44000,56200,45000"
636st "
637Project:
638"
639tm "CommentText"
640wrapOption 3
641visibleHeight 1000
642visibleWidth 4000
643)
644position 1
645ignorePrefs 1
646titleBlock 1
647)
648*56 (CommentText
649uid 24,0
650shape (Rectangle
651uid 25,0
652sl 0
653va (VaSet
654vasetType 1
655fg "65280,65280,46080"
656)
657xt "36000,46000,53000,47000"
658)
659oxt "18000,68000,35000,69000"
660text (MLText
661uid 26,0
662va (VaSet
663fg "0,0,32768"
664bg "0,0,32768"
665)
666xt "36200,46000,46200,47000"
667st "
668<enter diagram title here>
669"
670tm "CommentText"
671wrapOption 3
672visibleHeight 1000
673visibleWidth 17000
674)
675position 1
676ignorePrefs 1
677titleBlock 1
678)
679*57 (CommentText
680uid 27,0
681shape (Rectangle
682uid 28,0
683sl 0
684va (VaSet
685vasetType 1
686fg "65280,65280,46080"
687)
688xt "32000,46000,36000,47000"
689)
690oxt "14000,68000,18000,69000"
691text (MLText
692uid 29,0
693va (VaSet
694fg "0,0,32768"
695bg "0,0,32768"
696)
697xt "32200,46000,34300,47000"
698st "
699Title:
700"
701tm "CommentText"
702wrapOption 3
703visibleHeight 1000
704visibleWidth 4000
705)
706position 1
707ignorePrefs 1
708titleBlock 1
709)
710*58 (CommentText
711uid 30,0
712shape (Rectangle
713uid 31,0
714sl 0
715va (VaSet
716vasetType 1
717fg "65280,65280,46080"
718)
719xt "53000,45000,73000,49000"
720)
721oxt "35000,67000,55000,71000"
722text (MLText
723uid 32,0
724va (VaSet
725fg "0,0,32768"
726bg "0,0,32768"
727)
728xt "53200,45200,62400,46200"
729st "
730<enter comments here>
731"
732tm "CommentText"
733wrapOption 3
734visibleHeight 4000
735visibleWidth 20000
736)
737ignorePrefs 1
738titleBlock 1
739)
740*59 (CommentText
741uid 33,0
742shape (Rectangle
743uid 34,0
744sl 0
745va (VaSet
746vasetType 1
747fg "65280,65280,46080"
748)
749xt "57000,44000,73000,45000"
750)
751oxt "39000,66000,55000,67000"
752text (MLText
753uid 35,0
754va (VaSet
755fg "0,0,32768"
756bg "0,0,32768"
757)
758xt "57200,44000,61700,45000"
759st "
760%project_name
761"
762tm "CommentText"
763wrapOption 3
764visibleHeight 1000
765visibleWidth 16000
766)
767position 1
768ignorePrefs 1
769titleBlock 1
770)
771*60 (CommentText
772uid 36,0
773shape (Rectangle
774uid 37,0
775sl 0
776va (VaSet
777vasetType 1
778fg "65280,65280,46080"
779)
780xt "32000,44000,53000,46000"
781)
782oxt "14000,66000,35000,68000"
783text (MLText
784uid 38,0
785va (VaSet
786fg "32768,0,0"
787)
788xt "39150,44500,45850,45500"
789st "
790<company name>
791"
792ju 0
793tm "CommentText"
794wrapOption 3
795visibleHeight 2000
796visibleWidth 21000
797)
798position 1
799ignorePrefs 1
800titleBlock 1
801)
802*61 (CommentText
803uid 39,0
804shape (Rectangle
805uid 40,0
806sl 0
807va (VaSet
808vasetType 1
809fg "65280,65280,46080"
810)
811xt "32000,47000,36000,48000"
812)
813oxt "14000,69000,18000,70000"
814text (MLText
815uid 41,0
816va (VaSet
817fg "0,0,32768"
818bg "0,0,32768"
819)
820xt "32200,47000,34300,48000"
821st "
822Path:
823"
824tm "CommentText"
825wrapOption 3
826visibleHeight 1000
827visibleWidth 4000
828)
829position 1
830ignorePrefs 1
831titleBlock 1
832)
833*62 (CommentText
834uid 42,0
835shape (Rectangle
836uid 43,0
837sl 0
838va (VaSet
839vasetType 1
840fg "65280,65280,46080"
841)
842xt "32000,48000,36000,49000"
843)
844oxt "14000,70000,18000,71000"
845text (MLText
846uid 44,0
847va (VaSet
848fg "0,0,32768"
849bg "0,0,32768"
850)
851xt "32200,48000,34900,49000"
852st "
853Edited:
854"
855tm "CommentText"
856wrapOption 3
857visibleHeight 1000
858visibleWidth 4000
859)
860position 1
861ignorePrefs 1
862titleBlock 1
863)
864*63 (CommentText
865uid 45,0
866shape (Rectangle
867uid 46,0
868sl 0
869va (VaSet
870vasetType 1
871fg "65280,65280,46080"
872)
873xt "36000,47000,53000,48000"
874)
875oxt "18000,69000,35000,70000"
876text (MLText
877uid 47,0
878va (VaSet
879fg "0,0,32768"
880bg "0,0,32768"
881)
882xt "36200,47000,45200,48000"
883st "
884%library/%unit/%view
885"
886tm "CommentText"
887wrapOption 3
888visibleHeight 1000
889visibleWidth 17000
890)
891position 1
892ignorePrefs 1
893titleBlock 1
894)
895]
896shape (GroupingShape
897uid 17,0
898va (VaSet
899vasetType 1
900fg "65535,65535,65535"
901lineStyle 2
902lineWidth 2
903)
904xt "32000,44000,73000,49000"
905)
906oxt "14000,66000,55000,71000"
907)
908]
909bg "65535,65535,65535"
910grid (Grid
911origin "0,0"
912isVisible 1
913isActive 1
914xSpacing 1000
915xySpacing 1000
916xShown 1
917yShown 1
918color "26368,26368,26368"
919)
920packageList *64 (PackageList
921uid 48,0
922stg "VerticalLayoutStrategy"
923textVec [
924*65 (Text
925uid 49,0
926va (VaSet
927font "arial,8,1"
928)
929xt "0,0,5400,1000"
930st "Package List"
931blo "0,800"
932)
933*66 (MLText
934uid 50,0
935va (VaSet
936)
937xt "0,1000,10900,4000"
938st "LIBRARY ieee;
939USE ieee.std_logic_1164.all;
940USE ieee.std_logic_arith.all;
941"
942tm "PackageList"
943)
944]
945)
946windowSize "0,0,1015,690"
947viewArea "0,0,0,0"
948cachedDiagramExtent "0,0,0,0"
949pageBreakOrigin "0,0"
950defaultCommentText (CommentText
951shape (Rectangle
952layer 0
953va (VaSet
954vasetType 1
955fg "65280,65280,46080"
956lineColor "0,0,32768"
957)
958xt "0,0,15000,5000"
959)
960text (MLText
961va (VaSet
962fg "0,0,32768"
963)
964xt "200,200,2000,1200"
965st "
966Text
967"
968tm "CommentText"
969wrapOption 3
970visibleHeight 4600
971visibleWidth 14600
972)
973)
974defaultPanel (Panel
975shape (RectFrame
976va (VaSet
977vasetType 1
978fg "65535,65535,65535"
979lineColor "32768,0,0"
980lineWidth 3
981)
982xt "0,0,20000,20000"
983)
984title (TextAssociate
985ps "TopLeftStrategy"
986text (Text
987va (VaSet
988font "Arial,8,1"
989)
990xt "1000,1000,3800,2000"
991st "Panel0"
992blo "1000,1800"
993tm "PanelText"
994)
995)
996)
997parentGraphicsRef (HdmGraphicsRef
998libraryName ""
999entityName ""
1000viewName ""
1001)
1002defaultSymbolBody (SymbolBody
1003shape (Rectangle
1004va (VaSet
1005vasetType 1
1006fg "0,65535,0"
1007lineColor "0,32896,0"
1008lineWidth 2
1009)
1010xt "15000,6000,33000,26000"
1011)
1012biTextGroup (BiTextGroup
1013ps "CenterOffsetStrategy"
1014stg "VerticalLayoutStrategy"
1015first (Text
1016va (VaSet
1017font "Arial,8,1"
1018)
1019xt "22200,15000,25800,16000"
1020st "<library>"
1021blo "22200,15800"
1022)
1023second (Text
1024va (VaSet
1025font "Arial,8,1"
1026)
1027xt "22200,16000,24800,17000"
1028st "<cell>"
1029blo "22200,16800"
1030)
1031)
1032gi *67 (GenericInterface
1033ps "CenterOffsetStrategy"
1034matrix (Matrix
1035text (MLText
1036va (VaSet
1037font "Courier New,8,0"
1038)
1039xt "0,12000,11500,12800"
1040st "Generic Declarations"
1041)
1042header "Generic Declarations"
1043showHdrWhenContentsEmpty 1
1044)
1045elements [
1046]
1047)
1048portInstanceVisAsIs 1
1049portInstanceVis (PortSigDisplay
1050sIVOD 1
1051)
1052portVis (PortSigDisplay
1053sIVOD 1
1054)
1055)
1056defaultCptPort (CptPort
1057ps "OnEdgeStrategy"
1058shape (Triangle
1059ro 90
1060va (VaSet
1061vasetType 1
1062fg "0,65535,0"
1063)
1064xt "0,0,750,750"
1065)
1066tg (CPTG
1067ps "CptPortTextPlaceStrategy"
1068stg "VerticalLayoutStrategy"
1069f (Text
1070va (VaSet
1071)
1072xt "0,750,1400,1750"
1073st "In0"
1074blo "0,1550"
1075tm "CptPortNameMgr"
1076)
1077)
1078dt (MLText
1079va (VaSet
1080font "Courier New,8,0"
1081)
1082)
1083thePort (LogicalPort
1084decl (Decl
1085n "In0"
1086t "std_logic_vector"
1087b "(15 DOWNTO 0)"
1088o 0
1089)
1090)
1091)
1092defaultCptPortBuffer (CptPort
1093ps "OnEdgeStrategy"
1094shape (Diamond
1095va (VaSet
1096vasetType 1
1097fg "65535,65535,65535"
1098bg "0,0,0"
1099)
1100xt "0,0,750,750"
1101)
1102tg (CPTG
1103ps "CptPortTextPlaceStrategy"
1104stg "VerticalLayoutStrategy"
1105f (Text
1106va (VaSet
1107)
1108xt "0,750,2800,1750"
1109st "Buffer0"
1110blo "0,1550"
1111tm "CptPortNameMgr"
1112)
1113)
1114dt (MLText
1115va (VaSet
1116font "Courier New,8,0"
1117)
1118)
1119thePort (LogicalPort
1120m 3
1121decl (Decl
1122n "Buffer0"
1123t "std_logic_vector"
1124b "(15 DOWNTO 0)"
1125o 0
1126)
1127)
1128)
1129DeclarativeBlock *68 (SymDeclBlock
1130uid 1,0
1131stg "SymDeclLayoutStrategy"
1132declLabel (Text
1133uid 2,0
1134va (VaSet
1135font "Arial,8,1"
1136)
1137xt "42000,0,47400,1000"
1138st "Declarations"
1139blo "42000,800"
1140)
1141portLabel (Text
1142uid 3,0
1143va (VaSet
1144font "Arial,8,1"
1145)
1146xt "42000,1000,44700,2000"
1147st "Ports:"
1148blo "42000,1800"
1149)
1150externalLabel (Text
1151uid 4,0
1152va (VaSet
1153font "Arial,8,1"
1154)
1155xt "42000,2000,44400,3000"
1156st "User:"
1157blo "42000,2800"
1158)
1159internalLabel (Text
1160uid 6,0
1161va (VaSet
1162isHidden 1
1163font "Arial,8,1"
1164)
1165xt "42000,0,47800,1000"
1166st "Internal User:"
1167blo "42000,800"
1168)
1169externalText (MLText
1170uid 5,0
1171va (VaSet
1172font "Courier New,8,0"
1173)
1174xt "44000,3000,44000,3000"
1175tm "SyDeclarativeTextMgr"
1176)
1177internalText (MLText
1178uid 7,0
1179va (VaSet
1180isHidden 1
1181font "Courier New,8,0"
1182)
1183xt "42000,0,42000,0"
1184tm "SyDeclarativeTextMgr"
1185)
1186)
1187lastUid 50,0
1188activeModelName "Symbol:CDM"
1189)
Note: See TracBrowser for help on using the repository browser.