source: firmware/FAD/FACT_FAD_TB_lib/hds/phase_shifter_tester/interface

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 26.7 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "IEEE"
12unitName "NUMERIC_STD"
13itemName "ALL"
14)
15(DmPackageRef
16library "FACT_FAD_lib"
17unitName "fad_definitions"
18itemName "ALL"
19)
20(DmPackageRef
21library "ieee"
22unitName "std_logic_unsigned"
23)
24(DmPackageRef
25library "ieee"
26unitName "std_logic_arith"
27)
28]
29libraryRefs [
30"ieee"
31"FACT_FAD_lib"
32]
33)
34version "24.1"
35appVersion "2009.2 (Build 10)"
36model (Symbol
37commonDM (CommonDM
38ldm (LogicalDM
39ordering 1
40suid 484,0
41usingSuid 1
42emptyRow *1 (LEmptyRow
43)
44uid 144,0
45optionalChildren [
46*2 (RefLabelRowHdr
47)
48*3 (TitleRowHdr
49)
50*4 (FilterRowHdr
51)
52*5 (RefLabelColHdr
53tm "RefLabelColHdrMgr"
54)
55*6 (RowExpandColHdr
56tm "RowExpandColHdrMgr"
57)
58*7 (GroupColHdr
59tm "GroupColHdrMgr"
60)
61*8 (NameColHdr
62tm "NameColHdrMgr"
63)
64*9 (ModeColHdr
65tm "ModeColHdrMgr"
66)
67*10 (TypeColHdr
68tm "TypeColHdrMgr"
69)
70*11 (BoundsColHdr
71tm "BoundsColHdrMgr"
72)
73*12 (InitColHdr
74tm "InitColHdrMgr"
75)
76*13 (EolColHdr
77tm "EolColHdrMgr"
78)
79*14 (LogPort
80port (LogicalPort
81lang 10
82decl (Decl
83n "clk"
84t "std_logic"
85o 1
86suid 472,0
87)
88)
89uid 4321,0
90)
91*15 (LogPort
92port (LogicalPort
93lang 10
94m 1
95decl (Decl
96n "direction"
97t "std_logic"
98o 11
99suid 473,0
100)
101)
102uid 4323,0
103)
104*16 (LogPort
105port (LogicalPort
106lang 10
107m 1
108decl (Decl
109n "LOCKED"
110t "std_logic"
111o 9
112suid 474,0
113)
114)
115uid 4325,0
116)
117*17 (LogPort
118port (LogicalPort
119lang 10
120decl (Decl
121n "offset"
122t "std_logic_vector"
123b "(7 DOWNTO 0)"
124o 5
125suid 475,0
126)
127)
128uid 4327,0
129)
130*18 (LogPort
131port (LogicalPort
132lang 10
133decl (Decl
134n "PSCLK"
135t "std_logic"
136o 2
137suid 476,0
138)
139)
140uid 4329,0
141)
142*19 (LogPort
143port (LogicalPort
144lang 10
145m 1
146decl (Decl
147n "PSDONE"
148t "std_logic"
149o 10
150suid 477,0
151)
152)
153uid 4331,0
154)
155*20 (LogPort
156port (LogicalPort
157lang 10
158decl (Decl
159n "PSEN"
160t "std_logic"
161o 3
162suid 478,0
163)
164)
165uid 4333,0
166)
167*21 (LogPort
168port (LogicalPort
169lang 10
170decl (Decl
171n "PSINCDEC"
172t "std_logic"
173o 4
174suid 479,0
175)
176)
177uid 4335,0
178)
179*22 (LogPort
180port (LogicalPort
181lang 10
182decl (Decl
183n "ready"
184t "std_logic"
185o 6
186suid 480,0
187)
188)
189uid 4337,0
190)
191*23 (LogPort
192port (LogicalPort
193lang 10
194m 1
195decl (Decl
196n "reset_DCM"
197t "std_logic"
198o 12
199suid 481,0
200)
201)
202uid 4339,0
203)
204*24 (LogPort
205port (LogicalPort
206lang 10
207decl (Decl
208n "rst"
209t "std_logic"
210o 7
211suid 482,0
212)
213)
214uid 4341,0
215)
216*25 (LogPort
217port (LogicalPort
218lang 10
219m 1
220decl (Decl
221n "shift_phase"
222t "std_logic"
223o 13
224suid 483,0
225)
226)
227uid 4343,0
228)
229*26 (LogPort
230port (LogicalPort
231lang 10
232decl (Decl
233n "shifting"
234t "std_logic"
235o 8
236suid 484,0
237)
238)
239uid 4345,0
240)
241]
242)
243pdm (PhysicalDM
244displayShortBounds 1
245editShortBounds 1
246uid 157,0
247optionalChildren [
248*27 (Sheet
249sheetRow (SheetRow
250headerVa (MVa
251cellColor "49152,49152,49152"
252fontColor "0,0,0"
253font "Tahoma,10,0"
254)
255cellVa (MVa
256cellColor "65535,65535,65535"
257fontColor "0,0,0"
258font "Tahoma,10,0"
259)
260groupVa (MVa
261cellColor "39936,56832,65280"
262fontColor "0,0,0"
263font "Tahoma,10,0"
264)
265emptyMRCItem *28 (MRCItem
266litem &1
267pos 3
268dimension 20
269)
270uid 159,0
271optionalChildren [
272*29 (MRCItem
273litem &2
274pos 0
275dimension 20
276uid 160,0
277)
278*30 (MRCItem
279litem &3
280pos 1
281dimension 23
282uid 161,0
283)
284*31 (MRCItem
285litem &4
286pos 2
287hidden 1
288dimension 20
289uid 162,0
290)
291*32 (MRCItem
292litem &14
293pos 0
294dimension 20
295uid 4322,0
296)
297*33 (MRCItem
298litem &15
299pos 1
300dimension 20
301uid 4324,0
302)
303*34 (MRCItem
304litem &16
305pos 2
306dimension 20
307uid 4326,0
308)
309*35 (MRCItem
310litem &17
311pos 3
312dimension 20
313uid 4328,0
314)
315*36 (MRCItem
316litem &18
317pos 4
318dimension 20
319uid 4330,0
320)
321*37 (MRCItem
322litem &19
323pos 5
324dimension 20
325uid 4332,0
326)
327*38 (MRCItem
328litem &20
329pos 6
330dimension 20
331uid 4334,0
332)
333*39 (MRCItem
334litem &21
335pos 7
336dimension 20
337uid 4336,0
338)
339*40 (MRCItem
340litem &22
341pos 8
342dimension 20
343uid 4338,0
344)
345*41 (MRCItem
346litem &23
347pos 9
348dimension 20
349uid 4340,0
350)
351*42 (MRCItem
352litem &24
353pos 10
354dimension 20
355uid 4342,0
356)
357*43 (MRCItem
358litem &25
359pos 11
360dimension 20
361uid 4344,0
362)
363*44 (MRCItem
364litem &26
365pos 12
366dimension 20
367uid 4346,0
368)
369]
370)
371sheetCol (SheetCol
372propVa (MVa
373cellColor "0,49152,49152"
374fontColor "0,0,0"
375font "Tahoma,10,0"
376textAngle 90
377)
378uid 163,0
379optionalChildren [
380*45 (MRCItem
381litem &5
382pos 0
383dimension 20
384uid 164,0
385)
386*46 (MRCItem
387litem &7
388pos 1
389dimension 50
390uid 165,0
391)
392*47 (MRCItem
393litem &8
394pos 2
395dimension 100
396uid 166,0
397)
398*48 (MRCItem
399litem &9
400pos 3
401dimension 50
402uid 167,0
403)
404*49 (MRCItem
405litem &10
406pos 4
407dimension 100
408uid 168,0
409)
410*50 (MRCItem
411litem &11
412pos 5
413dimension 100
414uid 169,0
415)
416*51 (MRCItem
417litem &12
418pos 6
419dimension 50
420uid 170,0
421)
422*52 (MRCItem
423litem &13
424pos 7
425dimension 80
426uid 171,0
427)
428]
429)
430fixedCol 4
431fixedRow 2
432name "Ports"
433uid 158,0
434vaOverrides [
435]
436)
437]
438)
439uid 143,0
440)
441genericsCommonDM (CommonDM
442ldm (LogicalDM
443emptyRow *53 (LEmptyRow
444)
445uid 173,0
446optionalChildren [
447*54 (RefLabelRowHdr
448)
449*55 (TitleRowHdr
450)
451*56 (FilterRowHdr
452)
453*57 (RefLabelColHdr
454tm "RefLabelColHdrMgr"
455)
456*58 (RowExpandColHdr
457tm "RowExpandColHdrMgr"
458)
459*59 (GroupColHdr
460tm "GroupColHdrMgr"
461)
462*60 (NameColHdr
463tm "GenericNameColHdrMgr"
464)
465*61 (TypeColHdr
466tm "GenericTypeColHdrMgr"
467)
468*62 (InitColHdr
469tm "GenericValueColHdrMgr"
470)
471*63 (PragmaColHdr
472tm "GenericPragmaColHdrMgr"
473)
474*64 (EolColHdr
475tm "GenericEolColHdrMgr"
476)
477]
478)
479pdm (PhysicalDM
480displayShortBounds 1
481editShortBounds 1
482uid 185,0
483optionalChildren [
484*65 (Sheet
485sheetRow (SheetRow
486headerVa (MVa
487cellColor "49152,49152,49152"
488fontColor "0,0,0"
489font "Tahoma,10,0"
490)
491cellVa (MVa
492cellColor "65535,65535,65535"
493fontColor "0,0,0"
494font "Tahoma,10,0"
495)
496groupVa (MVa
497cellColor "39936,56832,65280"
498fontColor "0,0,0"
499font "Tahoma,10,0"
500)
501emptyMRCItem *66 (MRCItem
502litem &53
503pos 3
504dimension 20
505)
506uid 187,0
507optionalChildren [
508*67 (MRCItem
509litem &54
510pos 0
511dimension 20
512uid 188,0
513)
514*68 (MRCItem
515litem &55
516pos 1
517dimension 23
518uid 189,0
519)
520*69 (MRCItem
521litem &56
522pos 2
523hidden 1
524dimension 20
525uid 190,0
526)
527]
528)
529sheetCol (SheetCol
530propVa (MVa
531cellColor "0,49152,49152"
532fontColor "0,0,0"
533font "Tahoma,10,0"
534textAngle 90
535)
536uid 191,0
537optionalChildren [
538*70 (MRCItem
539litem &57
540pos 0
541dimension 20
542uid 192,0
543)
544*71 (MRCItem
545litem &59
546pos 1
547dimension 50
548uid 193,0
549)
550*72 (MRCItem
551litem &60
552pos 2
553dimension 100
554uid 194,0
555)
556*73 (MRCItem
557litem &61
558pos 3
559dimension 100
560uid 195,0
561)
562*74 (MRCItem
563litem &62
564pos 4
565dimension 50
566uid 196,0
567)
568*75 (MRCItem
569litem &63
570pos 5
571dimension 50
572uid 197,0
573)
574*76 (MRCItem
575litem &64
576pos 6
577dimension 80
578uid 198,0
579)
580]
581)
582fixedCol 3
583fixedRow 2
584name "Ports"
585uid 186,0
586vaOverrides [
587]
588)
589]
590)
591uid 172,0
592type 1
593)
594VExpander (VariableExpander
595vvMap [
596(vvPair
597variable "HDLDir"
598value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
599)
600(vvPair
601variable "HDSDir"
602value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
603)
604(vvPair
605variable "SideDataDesignDir"
606value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\phase_shifter_tester\\interface.info"
607)
608(vvPair
609variable "SideDataUserDir"
610value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\phase_shifter_tester\\interface.user"
611)
612(vvPair
613variable "SourceDir"
614value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
615)
616(vvPair
617variable "appl"
618value "HDL Designer"
619)
620(vvPair
621variable "arch_name"
622value "interface"
623)
624(vvPair
625variable "config"
626value "%(unit)_%(view)_config"
627)
628(vvPair
629variable "d"
630value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\phase_shifter_tester"
631)
632(vvPair
633variable "d_logical"
634value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\phase_shifter_tester"
635)
636(vvPair
637variable "date"
638value "14.02.2011"
639)
640(vvPair
641variable "day"
642value "Mo"
643)
644(vvPair
645variable "day_long"
646value "Montag"
647)
648(vvPair
649variable "dd"
650value "14"
651)
652(vvPair
653variable "entity_name"
654value "phase_shifter_tester"
655)
656(vvPair
657variable "ext"
658value "<TBD>"
659)
660(vvPair
661variable "f"
662value "interface"
663)
664(vvPair
665variable "f_logical"
666value "interface"
667)
668(vvPair
669variable "f_noext"
670value "interface"
671)
672(vvPair
673variable "group"
674value "UNKNOWN"
675)
676(vvPair
677variable "host"
678value "E5B-LABOR6"
679)
680(vvPair
681variable "language"
682value "VHDL"
683)
684(vvPair
685variable "library"
686value "FACT_FAD_TB_lib"
687)
688(vvPair
689variable "library_downstream_ISEPARInvoke"
690value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
691)
692(vvPair
693variable "library_downstream_ImpactInvoke"
694value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
695)
696(vvPair
697variable "library_downstream_ModelSimCompiler"
698value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
699)
700(vvPair
701variable "library_downstream_XSTDataPrep"
702value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
703)
704(vvPair
705variable "mm"
706value "02"
707)
708(vvPair
709variable "module_name"
710value "phase_shifter_tester"
711)
712(vvPair
713variable "month"
714value "Feb"
715)
716(vvPair
717variable "month_long"
718value "Februar"
719)
720(vvPair
721variable "p"
722value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\phase_shifter_tester\\interface"
723)
724(vvPair
725variable "p_logical"
726value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\phase_shifter_tester\\interface"
727)
728(vvPair
729variable "package_name"
730value "<Undefined Variable>"
731)
732(vvPair
733variable "project_name"
734value "FACT_FAD"
735)
736(vvPair
737variable "series"
738value "HDL Designer Series"
739)
740(vvPair
741variable "task_DesignCompilerPath"
742value "<TBD>"
743)
744(vvPair
745variable "task_LeonardoPath"
746value "<TBD>"
747)
748(vvPair
749variable "task_ModelSimPath"
750value "C:\\modeltech_6.6a\\win32"
751)
752(vvPair
753variable "task_NC-SimPath"
754value "<TBD>"
755)
756(vvPair
757variable "task_PrecisionRTLPath"
758value "<TBD>"
759)
760(vvPair
761variable "task_QuestaSimPath"
762value "<TBD>"
763)
764(vvPair
765variable "task_VCSPath"
766value "<TBD>"
767)
768(vvPair
769variable "this_ext"
770value "<TBD>"
771)
772(vvPair
773variable "this_file"
774value "interface"
775)
776(vvPair
777variable "this_file_logical"
778value "interface"
779)
780(vvPair
781variable "time"
782value "13:16:32"
783)
784(vvPair
785variable "unit"
786value "phase_shifter_tester"
787)
788(vvPair
789variable "user"
790value "dneise"
791)
792(vvPair
793variable "version"
794value "2009.2 (Build 10)"
795)
796(vvPair
797variable "view"
798value "interface"
799)
800(vvPair
801variable "year"
802value "2011"
803)
804(vvPair
805variable "yy"
806value "11"
807)
808]
809)
810LanguageMgr "VhdlLangMgr"
811uid 142,0
812optionalChildren [
813*77 (SymbolBody
814uid 8,0
815optionalChildren [
816*78 (CptPort
817uid 4256,0
818ps "OnEdgeStrategy"
819shape (Triangle
820uid 4257,0
821ro 90
822va (VaSet
823vasetType 1
824fg "0,65535,0"
825)
826xt "14250,21625,15000,22375"
827)
828tg (CPTG
829uid 4258,0
830ps "CptPortTextPlaceStrategy"
831stg "VerticalLayoutStrategy"
832f (Text
833uid 4259,0
834va (VaSet
835)
836xt "16000,21500,17300,22500"
837st "clk"
838blo "16000,22300"
839tm "CptPortNameMgr"
840)
841)
842dt (MLText
843uid 4260,0
844va (VaSet
845font "Courier New,8,0"
846)
847)
848thePort (LogicalPort
849lang 10
850decl (Decl
851n "clk"
852t "std_logic"
853o 1
854suid 472,0
855)
856)
857)
858*79 (CptPort
859uid 4261,0
860ps "OnEdgeStrategy"
861shape (Triangle
862uid 4262,0
863ro 270
864va (VaSet
865vasetType 1
866fg "0,65535,0"
867)
868xt "14250,17625,15000,18375"
869)
870tg (CPTG
871uid 4263,0
872ps "CptPortTextPlaceStrategy"
873stg "VerticalLayoutStrategy"
874f (Text
875uid 4264,0
876va (VaSet
877)
878xt "16000,17500,19300,18500"
879st "direction"
880blo "16000,18300"
881tm "CptPortNameMgr"
882)
883)
884dt (MLText
885uid 4265,0
886va (VaSet
887font "Courier New,8,0"
888)
889)
890thePort (LogicalPort
891lang 10
892m 1
893decl (Decl
894n "direction"
895t "std_logic"
896o 11
897suid 473,0
898)
899)
900)
901*80 (CptPort
902uid 4266,0
903ps "OnEdgeStrategy"
904shape (Triangle
905uid 4267,0
906ro 270
907va (VaSet
908vasetType 1
909fg "0,65535,0"
910)
911xt "14250,11625,15000,12375"
912)
913tg (CPTG
914uid 4268,0
915ps "CptPortTextPlaceStrategy"
916stg "VerticalLayoutStrategy"
917f (Text
918uid 4269,0
919va (VaSet
920)
921xt "16000,11500,19600,12500"
922st "LOCKED"
923blo "16000,12300"
924tm "CptPortNameMgr"
925)
926)
927dt (MLText
928uid 4270,0
929va (VaSet
930font "Courier New,8,0"
931)
932)
933thePort (LogicalPort
934lang 10
935m 1
936decl (Decl
937n "LOCKED"
938t "std_logic"
939o 9
940suid 474,0
941)
942)
943)
944*81 (CptPort
945uid 4271,0
946ps "OnEdgeStrategy"
947shape (Triangle
948uid 4272,0
949ro 270
950va (VaSet
951vasetType 1
952fg "0,65535,0"
953)
954xt "30000,17625,30750,18375"
955)
956tg (CPTG
957uid 4273,0
958ps "CptPortTextPlaceStrategy"
959stg "RightVerticalLayoutStrategy"
960f (Text
961uid 4274,0
962va (VaSet
963)
964xt "26800,17500,29000,18500"
965st "offset"
966ju 2
967blo "29000,18300"
968tm "CptPortNameMgr"
969)
970)
971dt (MLText
972uid 4275,0
973va (VaSet
974font "Courier New,8,0"
975)
976)
977thePort (LogicalPort
978lang 10
979decl (Decl
980n "offset"
981t "std_logic_vector"
982b "(7 DOWNTO 0)"
983o 5
984suid 475,0
985)
986)
987)
988*82 (CptPort
989uid 4276,0
990ps "OnEdgeStrategy"
991shape (Triangle
992uid 4277,0
993ro 270
994va (VaSet
995vasetType 1
996fg "0,65535,0"
997)
998xt "30000,7625,30750,8375"
999)
1000tg (CPTG
1001uid 4278,0
1002ps "CptPortTextPlaceStrategy"
1003stg "RightVerticalLayoutStrategy"
1004f (Text
1005uid 4279,0
1006va (VaSet
1007)
1008xt "26100,7500,29000,8500"
1009st "PSCLK"
1010ju 2
1011blo "29000,8300"
1012tm "CptPortNameMgr"
1013)
1014)
1015dt (MLText
1016uid 4280,0
1017va (VaSet
1018font "Courier New,8,0"
1019)
1020)
1021thePort (LogicalPort
1022lang 10
1023decl (Decl
1024n "PSCLK"
1025t "std_logic"
1026o 2
1027suid 476,0
1028)
1029)
1030)
1031*83 (CptPort
1032uid 4281,0
1033ps "OnEdgeStrategy"
1034shape (Triangle
1035uid 4282,0
1036ro 270
1037va (VaSet
1038vasetType 1
1039fg "0,65535,0"
1040)
1041xt "14250,9625,15000,10375"
1042)
1043tg (CPTG
1044uid 4283,0
1045ps "CptPortTextPlaceStrategy"
1046stg "VerticalLayoutStrategy"
1047f (Text
1048uid 4284,0
1049va (VaSet
1050)
1051xt "16000,9500,19700,10500"
1052st "PSDONE"
1053blo "16000,10300"
1054tm "CptPortNameMgr"
1055)
1056)
1057dt (MLText
1058uid 4285,0
1059va (VaSet
1060font "Courier New,8,0"
1061)
1062)
1063thePort (LogicalPort
1064lang 10
1065m 1
1066decl (Decl
1067n "PSDONE"
1068t "std_logic"
1069o 10
1070suid 477,0
1071)
1072)
1073)
1074*84 (CptPort
1075uid 4286,0
1076ps "OnEdgeStrategy"
1077shape (Triangle
1078uid 4287,0
1079ro 270
1080va (VaSet
1081vasetType 1
1082fg "0,65535,0"
1083)
1084xt "30000,9625,30750,10375"
1085)
1086tg (CPTG
1087uid 4288,0
1088ps "CptPortTextPlaceStrategy"
1089stg "RightVerticalLayoutStrategy"
1090f (Text
1091uid 4289,0
1092va (VaSet
1093)
1094xt "26500,9500,29000,10500"
1095st "PSEN"
1096ju 2
1097blo "29000,10300"
1098tm "CptPortNameMgr"
1099)
1100)
1101dt (MLText
1102uid 4290,0
1103va (VaSet
1104font "Courier New,8,0"
1105)
1106)
1107thePort (LogicalPort
1108lang 10
1109decl (Decl
1110n "PSEN"
1111t "std_logic"
1112o 3
1113suid 478,0
1114)
1115)
1116)
1117*85 (CptPort
1118uid 4291,0
1119ps "OnEdgeStrategy"
1120shape (Triangle
1121uid 4292,0
1122ro 270
1123va (VaSet
1124vasetType 1
1125fg "0,65535,0"
1126)
1127xt "30000,11625,30750,12375"
1128)
1129tg (CPTG
1130uid 4293,0
1131ps "CptPortTextPlaceStrategy"
1132stg "RightVerticalLayoutStrategy"
1133f (Text
1134uid 4294,0
1135va (VaSet
1136)
1137xt "24500,11500,29000,12500"
1138st "PSINCDEC"
1139ju 2
1140blo "29000,12300"
1141tm "CptPortNameMgr"
1142)
1143)
1144dt (MLText
1145uid 4295,0
1146va (VaSet
1147font "Courier New,8,0"
1148)
1149)
1150thePort (LogicalPort
1151lang 10
1152decl (Decl
1153n "PSINCDEC"
1154t "std_logic"
1155o 4
1156suid 479,0
1157)
1158)
1159)
1160*86 (CptPort
1161uid 4296,0
1162ps "OnEdgeStrategy"
1163shape (Triangle
1164uid 4297,0
1165ro 270
1166va (VaSet
1167vasetType 1
1168fg "0,65535,0"
1169)
1170xt "30000,15625,30750,16375"
1171)
1172tg (CPTG
1173uid 4298,0
1174ps "CptPortTextPlaceStrategy"
1175stg "RightVerticalLayoutStrategy"
1176f (Text
1177uid 4299,0
1178va (VaSet
1179)
1180xt "26800,15500,29000,16500"
1181st "ready"
1182ju 2
1183blo "29000,16300"
1184tm "CptPortNameMgr"
1185)
1186)
1187dt (MLText
1188uid 4300,0
1189va (VaSet
1190font "Courier New,8,0"
1191)
1192)
1193thePort (LogicalPort
1194lang 10
1195decl (Decl
1196n "ready"
1197t "std_logic"
1198o 6
1199suid 480,0
1200)
1201)
1202)
1203*87 (CptPort
1204uid 4301,0
1205ps "OnEdgeStrategy"
1206shape (Triangle
1207uid 4302,0
1208ro 270
1209va (VaSet
1210vasetType 1
1211fg "0,65535,0"
1212)
1213xt "14250,20625,15000,21375"
1214)
1215tg (CPTG
1216uid 4303,0
1217ps "CptPortTextPlaceStrategy"
1218stg "VerticalLayoutStrategy"
1219f (Text
1220uid 4304,0
1221va (VaSet
1222)
1223xt "16000,20500,20400,21500"
1224st "reset_DCM"
1225blo "16000,21300"
1226tm "CptPortNameMgr"
1227)
1228)
1229dt (MLText
1230uid 4305,0
1231va (VaSet
1232font "Courier New,8,0"
1233)
1234)
1235thePort (LogicalPort
1236lang 10
1237m 1
1238decl (Decl
1239n "reset_DCM"
1240t "std_logic"
1241o 12
1242suid 481,0
1243)
1244)
1245)
1246*88 (CptPort
1247uid 4306,0
1248ps "OnEdgeStrategy"
1249shape (Triangle
1250uid 4307,0
1251ro 90
1252va (VaSet
1253vasetType 1
1254fg "0,65535,0"
1255)
1256xt "14250,18625,15000,19375"
1257)
1258tg (CPTG
1259uid 4308,0
1260ps "CptPortTextPlaceStrategy"
1261stg "VerticalLayoutStrategy"
1262f (Text
1263uid 4309,0
1264va (VaSet
1265)
1266xt "16000,18500,17300,19500"
1267st "rst"
1268blo "16000,19300"
1269tm "CptPortNameMgr"
1270)
1271)
1272dt (MLText
1273uid 4310,0
1274va (VaSet
1275font "Courier New,8,0"
1276)
1277)
1278thePort (LogicalPort
1279lang 10
1280decl (Decl
1281n "rst"
1282t "std_logic"
1283o 7
1284suid 482,0
1285)
1286)
1287)
1288*89 (CptPort
1289uid 4311,0
1290ps "OnEdgeStrategy"
1291shape (Triangle
1292uid 4312,0
1293ro 270
1294va (VaSet
1295vasetType 1
1296fg "0,65535,0"
1297)
1298xt "14250,15625,15000,16375"
1299)
1300tg (CPTG
1301uid 4313,0
1302ps "CptPortTextPlaceStrategy"
1303stg "VerticalLayoutStrategy"
1304f (Text
1305uid 4314,0
1306va (VaSet
1307)
1308xt "16000,15500,20600,16500"
1309st "shift_phase"
1310blo "16000,16300"
1311tm "CptPortNameMgr"
1312)
1313)
1314dt (MLText
1315uid 4315,0
1316va (VaSet
1317font "Courier New,8,0"
1318)
1319)
1320thePort (LogicalPort
1321lang 10
1322m 1
1323decl (Decl
1324n "shift_phase"
1325t "std_logic"
1326o 13
1327suid 483,0
1328)
1329)
1330)
1331*90 (CptPort
1332uid 4316,0
1333ps "OnEdgeStrategy"
1334shape (Triangle
1335uid 4317,0
1336ro 270
1337va (VaSet
1338vasetType 1
1339fg "0,65535,0"
1340)
1341xt "30000,13625,30750,14375"
1342)
1343tg (CPTG
1344uid 4318,0
1345ps "CptPortTextPlaceStrategy"
1346stg "RightVerticalLayoutStrategy"
1347f (Text
1348uid 4319,0
1349va (VaSet
1350)
1351xt "26100,13500,29000,14500"
1352st "shifting"
1353ju 2
1354blo "29000,14300"
1355tm "CptPortNameMgr"
1356)
1357)
1358dt (MLText
1359uid 4320,0
1360va (VaSet
1361font "Courier New,8,0"
1362)
1363)
1364thePort (LogicalPort
1365lang 10
1366decl (Decl
1367n "shifting"
1368t "std_logic"
1369o 8
1370suid 484,0
1371)
1372)
1373)
1374]
1375shape (Rectangle
1376uid 9,0
1377va (VaSet
1378vasetType 1
1379fg "0,65535,0"
1380lineColor "0,32896,0"
1381lineWidth 2
1382)
1383xt "15000,6000,30000,24000"
1384)
1385biTextGroup (BiTextGroup
1386uid 10,0
1387ps "CenterOffsetStrategy"
1388stg "VerticalLayoutStrategy"
1389first (Text
1390uid 11,0
1391va (VaSet
1392font "Arial,8,1"
1393)
1394xt "18150,14000,25850,15000"
1395st "FACT_FAD_TB_lib"
1396blo "18150,14800"
1397)
1398second (Text
1399uid 12,0
1400va (VaSet
1401font "Arial,8,1"
1402)
1403xt "18150,15000,26850,16000"
1404st "phase_shifter_tester"
1405blo "18150,15800"
1406)
1407)
1408gi *91 (GenericInterface
1409uid 13,0
1410ps "CenterOffsetStrategy"
1411matrix (Matrix
1412uid 14,0
1413text (MLText
1414uid 15,0
1415va (VaSet
1416font "Courier New,8,0"
1417)
1418xt "-1500,11000,10000,11800"
1419st "Generic Declarations"
1420)
1421header "Generic Declarations"
1422showHdrWhenContentsEmpty 1
1423)
1424elements [
1425]
1426)
1427portInstanceVisAsIs 1
1428portInstanceVis (PortSigDisplay
1429sTC 0
1430sF 0
1431)
1432portVis (PortSigDisplay
1433sTC 0
1434sF 0
1435)
1436)
1437*92 (Grouping
1438uid 16,0
1439optionalChildren [
1440*93 (CommentText
1441uid 18,0
1442shape (Rectangle
1443uid 19,0
1444sl 0
1445va (VaSet
1446vasetType 1
1447fg "65280,65280,46080"
1448)
1449xt "36000,48000,53000,49000"
1450)
1451oxt "18000,70000,35000,71000"
1452text (MLText
1453uid 20,0
1454va (VaSet
1455fg "0,0,32768"
1456bg "0,0,32768"
1457)
1458xt "36200,48000,45800,49000"
1459st "
1460by %user on %dd %month %year
1461"
1462tm "CommentText"
1463wrapOption 3
1464visibleHeight 1000
1465visibleWidth 17000
1466)
1467position 1
1468ignorePrefs 1
1469titleBlock 1
1470)
1471*94 (CommentText
1472uid 21,0
1473shape (Rectangle
1474uid 22,0
1475sl 0
1476va (VaSet
1477vasetType 1
1478fg "65280,65280,46080"
1479)
1480xt "53000,44000,57000,45000"
1481)
1482oxt "35000,66000,39000,67000"
1483text (MLText
1484uid 23,0
1485va (VaSet
1486fg "0,0,32768"
1487bg "0,0,32768"
1488)
1489xt "53200,44000,56200,45000"
1490st "
1491Project:
1492"
1493tm "CommentText"
1494wrapOption 3
1495visibleHeight 1000
1496visibleWidth 4000
1497)
1498position 1
1499ignorePrefs 1
1500titleBlock 1
1501)
1502*95 (CommentText
1503uid 24,0
1504shape (Rectangle
1505uid 25,0
1506sl 0
1507va (VaSet
1508vasetType 1
1509fg "65280,65280,46080"
1510)
1511xt "36000,46000,53000,47000"
1512)
1513oxt "18000,68000,35000,69000"
1514text (MLText
1515uid 26,0
1516va (VaSet
1517fg "0,0,32768"
1518bg "0,0,32768"
1519)
1520xt "36200,46000,46200,47000"
1521st "
1522<enter diagram title here>
1523"
1524tm "CommentText"
1525wrapOption 3
1526visibleHeight 1000
1527visibleWidth 17000
1528)
1529position 1
1530ignorePrefs 1
1531titleBlock 1
1532)
1533*96 (CommentText
1534uid 27,0
1535shape (Rectangle
1536uid 28,0
1537sl 0
1538va (VaSet
1539vasetType 1
1540fg "65280,65280,46080"
1541)
1542xt "32000,46000,36000,47000"
1543)
1544oxt "14000,68000,18000,69000"
1545text (MLText
1546uid 29,0
1547va (VaSet
1548fg "0,0,32768"
1549bg "0,0,32768"
1550)
1551xt "32200,46000,34300,47000"
1552st "
1553Title:
1554"
1555tm "CommentText"
1556wrapOption 3
1557visibleHeight 1000
1558visibleWidth 4000
1559)
1560position 1
1561ignorePrefs 1
1562titleBlock 1
1563)
1564*97 (CommentText
1565uid 30,0
1566shape (Rectangle
1567uid 31,0
1568sl 0
1569va (VaSet
1570vasetType 1
1571fg "65280,65280,46080"
1572)
1573xt "53000,45000,73000,49000"
1574)
1575oxt "35000,67000,55000,71000"
1576text (MLText
1577uid 32,0
1578va (VaSet
1579fg "0,0,32768"
1580bg "0,0,32768"
1581)
1582xt "53200,45200,62400,46200"
1583st "
1584<enter comments here>
1585"
1586tm "CommentText"
1587wrapOption 3
1588visibleHeight 4000
1589visibleWidth 20000
1590)
1591ignorePrefs 1
1592titleBlock 1
1593)
1594*98 (CommentText
1595uid 33,0
1596shape (Rectangle
1597uid 34,0
1598sl 0
1599va (VaSet
1600vasetType 1
1601fg "65280,65280,46080"
1602)
1603xt "57000,44000,73000,45000"
1604)
1605oxt "39000,66000,55000,67000"
1606text (MLText
1607uid 35,0
1608va (VaSet
1609fg "0,0,32768"
1610bg "0,0,32768"
1611)
1612xt "57200,44000,61700,45000"
1613st "
1614%project_name
1615"
1616tm "CommentText"
1617wrapOption 3
1618visibleHeight 1000
1619visibleWidth 16000
1620)
1621position 1
1622ignorePrefs 1
1623titleBlock 1
1624)
1625*99 (CommentText
1626uid 36,0
1627shape (Rectangle
1628uid 37,0
1629sl 0
1630va (VaSet
1631vasetType 1
1632fg "65280,65280,46080"
1633)
1634xt "32000,44000,53000,46000"
1635)
1636oxt "14000,66000,35000,68000"
1637text (MLText
1638uid 38,0
1639va (VaSet
1640fg "32768,0,0"
1641)
1642xt "39150,44500,45850,45500"
1643st "
1644<company name>
1645"
1646ju 0
1647tm "CommentText"
1648wrapOption 3
1649visibleHeight 2000
1650visibleWidth 21000
1651)
1652position 1
1653ignorePrefs 1
1654titleBlock 1
1655)
1656*100 (CommentText
1657uid 39,0
1658shape (Rectangle
1659uid 40,0
1660sl 0
1661va (VaSet
1662vasetType 1
1663fg "65280,65280,46080"
1664)
1665xt "32000,47000,36000,48000"
1666)
1667oxt "14000,69000,18000,70000"
1668text (MLText
1669uid 41,0
1670va (VaSet
1671fg "0,0,32768"
1672bg "0,0,32768"
1673)
1674xt "32200,47000,34300,48000"
1675st "
1676Path:
1677"
1678tm "CommentText"
1679wrapOption 3
1680visibleHeight 1000
1681visibleWidth 4000
1682)
1683position 1
1684ignorePrefs 1
1685titleBlock 1
1686)
1687*101 (CommentText
1688uid 42,0
1689shape (Rectangle
1690uid 43,0
1691sl 0
1692va (VaSet
1693vasetType 1
1694fg "65280,65280,46080"
1695)
1696xt "32000,48000,36000,49000"
1697)
1698oxt "14000,70000,18000,71000"
1699text (MLText
1700uid 44,0
1701va (VaSet
1702fg "0,0,32768"
1703bg "0,0,32768"
1704)
1705xt "32200,48000,34900,49000"
1706st "
1707Edited:
1708"
1709tm "CommentText"
1710wrapOption 3
1711visibleHeight 1000
1712visibleWidth 4000
1713)
1714position 1
1715ignorePrefs 1
1716titleBlock 1
1717)
1718*102 (CommentText
1719uid 45,0
1720shape (Rectangle
1721uid 46,0
1722sl 0
1723va (VaSet
1724vasetType 1
1725fg "65280,65280,46080"
1726)
1727xt "36000,47000,53000,48000"
1728)
1729oxt "18000,69000,35000,70000"
1730text (MLText
1731uid 47,0
1732va (VaSet
1733fg "0,0,32768"
1734bg "0,0,32768"
1735)
1736xt "36200,47000,51300,48000"
1737st "
1738%library/%unit/%view
1739"
1740tm "CommentText"
1741wrapOption 3
1742visibleHeight 1000
1743visibleWidth 17000
1744)
1745position 1
1746ignorePrefs 1
1747titleBlock 1
1748)
1749]
1750shape (GroupingShape
1751uid 17,0
1752va (VaSet
1753vasetType 1
1754fg "65535,65535,65535"
1755lineStyle 2
1756lineWidth 2
1757)
1758xt "32000,44000,73000,49000"
1759)
1760oxt "14000,66000,55000,71000"
1761)
1762]
1763bg "65535,65535,65535"
1764grid (Grid
1765origin "0,0"
1766isVisible 1
1767isActive 1
1768xSpacing 1000
1769xySpacing 1000
1770xShown 1
1771yShown 1
1772color "26368,26368,26368"
1773)
1774packageList *103 (PackageList
1775uid 48,0
1776stg "VerticalLayoutStrategy"
1777textVec [
1778*104 (Text
1779uid 49,0
1780va (VaSet
1781font "arial,8,1"
1782)
1783xt "0,0,5400,1000"
1784st "Package List"
1785blo "0,800"
1786)
1787*105 (MLText
1788uid 50,0
1789va (VaSet
1790)
1791xt "0,1000,15000,8000"
1792st "LIBRARY ieee;
1793USE ieee.std_logic_1164.ALL;
1794USE IEEE.NUMERIC_STD.ALL;
1795LIBRARY FACT_FAD_lib;
1796USE FACT_FAD_lib.fad_definitions.ALL;
1797USE ieee.std_logic_unsigned.all;
1798USE ieee.std_logic_arith.all;"
1799tm "PackageList"
1800)
1801]
1802)
1803windowSize "0,0,1015,690"
1804viewArea "0,0,0,0"
1805cachedDiagramExtent "0,0,0,0"
1806pageBreakOrigin "0,0"
1807defaultCommentText (CommentText
1808shape (Rectangle
1809layer 0
1810va (VaSet
1811vasetType 1
1812fg "65280,65280,46080"
1813lineColor "0,0,32768"
1814)
1815xt "0,0,15000,5000"
1816)
1817text (MLText
1818va (VaSet
1819fg "0,0,32768"
1820)
1821xt "200,200,2000,1200"
1822st "
1823Text
1824"
1825tm "CommentText"
1826wrapOption 3
1827visibleHeight 4600
1828visibleWidth 14600
1829)
1830)
1831defaultPanel (Panel
1832shape (RectFrame
1833va (VaSet
1834vasetType 1
1835fg "65535,65535,65535"
1836lineColor "32768,0,0"
1837lineWidth 3
1838)
1839xt "0,0,20000,20000"
1840)
1841title (TextAssociate
1842ps "TopLeftStrategy"
1843text (Text
1844va (VaSet
1845font "Arial,8,1"
1846)
1847xt "1000,1000,3800,2000"
1848st "Panel0"
1849blo "1000,1800"
1850tm "PanelText"
1851)
1852)
1853)
1854parentGraphicsRef (HdmGraphicsRef
1855libraryName "FACT_FAD_TB_lib"
1856entityName "phase_shifter_tb"
1857viewName "struct.bd"
1858)
1859defaultSymbolBody (SymbolBody
1860shape (Rectangle
1861va (VaSet
1862vasetType 1
1863fg "0,65535,0"
1864lineColor "0,32896,0"
1865lineWidth 2
1866)
1867xt "15000,6000,33000,26000"
1868)
1869biTextGroup (BiTextGroup
1870ps "CenterOffsetStrategy"
1871stg "VerticalLayoutStrategy"
1872first (Text
1873va (VaSet
1874font "Arial,8,1"
1875)
1876xt "22200,15000,25800,16000"
1877st "<library>"
1878blo "22200,15800"
1879)
1880second (Text
1881va (VaSet
1882font "Arial,8,1"
1883)
1884xt "22200,16000,24800,17000"
1885st "<cell>"
1886blo "22200,16800"
1887)
1888)
1889gi *106 (GenericInterface
1890ps "CenterOffsetStrategy"
1891matrix (Matrix
1892text (MLText
1893va (VaSet
1894font "Courier New,8,0"
1895)
1896xt "0,12000,11500,12800"
1897st "Generic Declarations"
1898)
1899header "Generic Declarations"
1900showHdrWhenContentsEmpty 1
1901)
1902elements [
1903]
1904)
1905portInstanceVisAsIs 1
1906portInstanceVis (PortSigDisplay
1907sIVOD 1
1908)
1909portVis (PortSigDisplay
1910sIVOD 1
1911)
1912)
1913defaultCptPort (CptPort
1914ps "OnEdgeStrategy"
1915shape (Triangle
1916ro 90
1917va (VaSet
1918vasetType 1
1919fg "0,65535,0"
1920)
1921xt "0,0,750,750"
1922)
1923tg (CPTG
1924ps "CptPortTextPlaceStrategy"
1925stg "VerticalLayoutStrategy"
1926f (Text
1927va (VaSet
1928)
1929xt "0,750,1400,1750"
1930st "In0"
1931blo "0,1550"
1932tm "CptPortNameMgr"
1933)
1934)
1935dt (MLText
1936va (VaSet
1937font "Courier New,8,0"
1938)
1939)
1940thePort (LogicalPort
1941decl (Decl
1942n "In0"
1943t "std_logic_vector"
1944b "(15 DOWNTO 0)"
1945o 0
1946)
1947)
1948)
1949defaultCptPortBuffer (CptPort
1950ps "OnEdgeStrategy"
1951shape (Diamond
1952va (VaSet
1953vasetType 1
1954fg "65535,65535,65535"
1955bg "0,0,0"
1956)
1957xt "0,0,750,750"
1958)
1959tg (CPTG
1960ps "CptPortTextPlaceStrategy"
1961stg "VerticalLayoutStrategy"
1962f (Text
1963va (VaSet
1964)
1965xt "0,750,2800,1750"
1966st "Buffer0"
1967blo "0,1550"
1968tm "CptPortNameMgr"
1969)
1970)
1971dt (MLText
1972va (VaSet
1973font "Courier New,8,0"
1974)
1975)
1976thePort (LogicalPort
1977m 3
1978decl (Decl
1979n "Buffer0"
1980t "std_logic_vector"
1981b "(15 DOWNTO 0)"
1982o 0
1983)
1984)
1985)
1986DeclarativeBlock *107 (SymDeclBlock
1987uid 1,0
1988stg "SymDeclLayoutStrategy"
1989declLabel (Text
1990uid 2,0
1991va (VaSet
1992font "Arial,8,1"
1993)
1994xt "42000,0,47400,1000"
1995st "Declarations"
1996blo "42000,800"
1997)
1998portLabel (Text
1999uid 3,0
2000va (VaSet
2001font "Arial,8,1"
2002)
2003xt "42000,1000,44700,2000"
2004st "Ports:"
2005blo "42000,1800"
2006)
2007externalLabel (Text
2008uid 4,0
2009va (VaSet
2010font "Arial,8,1"
2011)
2012xt "42000,14800,44400,15800"
2013st "User:"
2014blo "42000,15600"
2015)
2016internalLabel (Text
2017uid 6,0
2018va (VaSet
2019isHidden 1
2020font "Arial,8,1"
2021)
2022xt "42000,0,47800,1000"
2023st "Internal User:"
2024blo "42000,800"
2025)
2026externalText (MLText
2027uid 5,0
2028va (VaSet
2029font "Courier New,8,0"
2030)
2031xt "44000,15800,44000,15800"
2032tm "SyDeclarativeTextMgr"
2033)
2034internalText (MLText
2035uid 7,0
2036va (VaSet
2037isHidden 1
2038font "Courier New,8,0"
2039)
2040xt "42000,0,42000,0"
2041tm "SyDeclarativeTextMgr"
2042)
2043)
2044lastUid 4346,0
2045activeModelName "Symbol:CDM"
2046)
Note: See TracBrowser for help on using the repository browser.