DocumentHdrVersion "1.1" Header (DocumentHdr version 2 dmPackageRefs [ (DmPackageRef library "ieee" unitName "std_logic_1164" itemName "ALL" ) (DmPackageRef library "ieee" unitName "std_logic_arith" itemName "ALL" ) (DmPackageRef library "ieee" unitName "std_logic_unsigned" ) ] instances [ (Instance name "U_0" duLibraryName "FACT_FAD_lib" duName "spi_ltc2600" elements [ ] mwi 0 uid 53,0 ) (Instance name "U_1" duLibraryName "FACT_FAD_TB_lib" duName "spi_ltc2600_tester" elements [ ] mwi 0 uid 151,0 ) (Instance name "U_2" duLibraryName "FACT_FAD_TB_lib" duName "clock_generator" elements [ (GiElement name "clock_period" type "time" value "20 ns" ) (GiElement name "reset_time" type "time" value "50 ns" ) ] mwi 0 uid 448,0 ) ] libraryRefs [ "ieee" ] ) version "29.1" appVersion "2009.2 (Build 10)" noEmbeddedEditors 1 model (BlockDiag VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl" ) (vvPair variable "HDSDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" ) (vvPair variable "SideDataDesignDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb\\struct.bd.info" ) (vvPair variable "SideDataUserDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb\\struct.bd.user" ) (vvPair variable "SourceDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" ) (vvPair variable "appl" value "HDL Designer" ) (vvPair variable "arch_name" value "struct" ) (vvPair variable "config" value "%(unit)_%(view)_config" ) (vvPair variable "d" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb" ) (vvPair variable "d_logical" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb" ) (vvPair variable "date" value "03.02.2011" ) (vvPair variable "day" value "Do" ) (vvPair variable "day_long" value "Donnerstag" ) (vvPair variable "dd" value "03" ) (vvPair variable "entity_name" value "spi_ltc2600_tb" ) (vvPair variable "ext" value "" ) (vvPair variable "f" value "struct.bd" ) (vvPair variable "f_logical" value "struct.bd" ) (vvPair variable "f_noext" value "struct" ) (vvPair variable "group" value "UNKNOWN" ) (vvPair variable "host" value "E5B-LABOR6" ) (vvPair variable "language" value "VHDL" ) (vvPair variable "library" value "FACT_FAD_TB_lib" ) (vvPair variable "library_downstream_ISEPARInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" ) (vvPair variable "library_downstream_ImpactInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" ) (vvPair variable "library_downstream_ModelSimCompiler" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work" ) (vvPair variable "library_downstream_XSTDataPrep" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" ) (vvPair variable "mm" value "02" ) (vvPair variable "module_name" value "spi_ltc2600_tb" ) (vvPair variable "month" value "Feb" ) (vvPair variable "month_long" value "Februar" ) (vvPair variable "p" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb\\struct.bd" ) (vvPair variable "p_logical" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb\\struct.bd" ) (vvPair variable "package_name" value "" ) (vvPair variable "project_name" value "FACT_FAD" ) (vvPair variable "series" value "HDL Designer Series" ) (vvPair variable "task_DesignCompilerPath" value "" ) (vvPair variable "task_LeonardoPath" value "" ) (vvPair variable "task_ModelSimPath" value "C:\\modeltech_6.6a\\win32" ) (vvPair variable "task_NC-SimPath" value "" ) (vvPair variable "task_PrecisionRTLPath" value "" ) (vvPair variable "task_QuestaSimPath" value "" ) (vvPair variable "task_VCSPath" value "" ) (vvPair variable "this_ext" value "bd" ) (vvPair variable "this_file" value "struct" ) (vvPair variable "this_file_logical" value "struct" ) (vvPair variable "time" value "20:11:13" ) (vvPair variable "unit" value "spi_ltc2600_tb" ) (vvPair variable "user" value "dneise" ) (vvPair variable "version" value "2009.2 (Build 10)" ) (vvPair variable "view" value "struct" ) (vvPair variable "year" value "2011" ) (vvPair variable "yy" value "11" ) ] ) LanguageMgr "VhdlLangMgr" uid 314,0 optionalChildren [ *1 (SaComponent uid 53,0 optionalChildren [ *2 (CptPort uid 9,0 ps "OnEdgeStrategy" shape (Triangle uid 10,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "-750,14625,0,15375" ) tg (CPTG uid 11,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 12,0 va (VaSet ) xt "1000,14500,2300,15500" st "clk" blo "1000,15300" ) ) thePort (LogicalPort decl (Decl n "clk" t "std_logic" o 1 ) ) ) *3 (CptPort uid 13,0 ps "OnEdgeStrategy" shape (Triangle uid 14,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "12000,14625,12750,15375" ) tg (CPTG uid 15,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 16,0 va (VaSet ) xt "8600,14500,11000,15500" st "SCLK" ju 2 blo "11000,15300" ) ) thePort (LogicalPort m 1 decl (Decl n "SCLK" t "std_logic" o 2 i "'0'" ) ) ) *4 (CptPort uid 17,0 ps "OnEdgeStrategy" shape (Triangle uid 18,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "12000,15625,12750,16375" ) tg (CPTG uid 19,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 20,0 va (VaSet ) xt "9500,15500,11000,16500" st "CS" ju 2 blo "11000,16300" ) ) thePort (LogicalPort m 1 decl (Decl n "CS" t "std_logic" o 3 i "'1'" ) ) ) *5 (CptPort uid 21,0 ps "OnEdgeStrategy" shape (Triangle uid 22,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "12000,16625,12750,17375" ) tg (CPTG uid 23,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 24,0 va (VaSet ) xt "8600,16500,11000,17500" st "MOSI" ju 2 blo "11000,17300" ) ) thePort (LogicalPort m 1 decl (Decl n "MOSI" t "std_logic" o 4 i "'0'" ) ) ) *6 (CptPort uid 25,0 ps "OnEdgeStrategy" shape (Triangle uid 26,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "12000,17625,12750,18375" ) tg (CPTG uid 27,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 28,0 va (VaSet ) xt "8600,17500,11000,18500" st "MISO" ju 2 blo "11000,18300" ) ) thePort (LogicalPort m 1 decl (Decl n "MISO" t "std_logic" o 5 i "'Z'" ) ) ) *7 (CptPort uid 29,0 ps "OnEdgeStrategy" shape (Triangle uid 30,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "-750,15625,0,16375" ) tg (CPTG uid 31,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 32,0 va (VaSet ) xt "1000,15500,4100,16500" st "transmit" blo "1000,16300" ) ) thePort (LogicalPort decl (Decl n "transmit" t "std_logic" o 6 ) ) ) *8 (CptPort uid 33,0 ps "OnEdgeStrategy" shape (Triangle uid 34,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "-750,16625,0,17375" ) tg (CPTG uid 35,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 36,0 va (VaSet ) xt "1000,16500,7200,17500" st "command : (3:0)" blo "1000,17300" ) ) thePort (LogicalPort decl (Decl n "command" t "std_logic_vector" b "(3 downto 0)" o 7 ) ) ) *9 (CptPort uid 37,0 ps "OnEdgeStrategy" shape (Triangle uid 38,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "-750,17625,0,18375" ) tg (CPTG uid 39,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 40,0 va (VaSet ) xt "1000,17500,6700,18500" st "address : (3:0)" blo "1000,18300" ) ) thePort (LogicalPort decl (Decl n "address" t "std_logic_vector" b "(3 downto 0)" o 8 ) ) ) *10 (CptPort uid 41,0 ps "OnEdgeStrategy" shape (Triangle uid 42,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "-750,18625,0,19375" ) tg (CPTG uid 43,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 44,0 va (VaSet ) xt "1000,18500,5800,19500" st "data : (15:0)" blo "1000,19300" ) ) thePort (LogicalPort decl (Decl n "data" t "std_logic_vector" b "(15 downto 0)" o 9 ) ) ) *11 (CptPort uid 45,0 ps "OnEdgeStrategy" shape (Triangle uid 46,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "12000,18625,12750,19375" ) tg (CPTG uid 47,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 48,0 va (VaSet ) xt "8800,18500,11000,19500" st "ready" ju 2 blo "11000,19300" ) ) thePort (LogicalPort m 1 decl (Decl n "ready" t "std_logic" o 10 i "'0'" ) ) ) *12 (CptPort uid 49,0 ps "OnEdgeStrategy" shape (Triangle uid 50,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "12000,19625,12750,20375" ) tg (CPTG uid 51,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 52,0 va (VaSet ) xt "9100,19500,11000,20500" st "busy" ju 2 blo "11000,20300" ) ) thePort (LogicalPort m 1 decl (Decl n "busy" t "std_logic" o 11 i "'1'" ) ) ) ] shape (Rectangle uid 54,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,14000,12000,21000" ) ttg (MlTextGroup uid 55,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *13 (Text uid 56,0 va (VaSet font "Arial,8,1" ) xt "2900,21000,9100,22000" st "FACT_FAD_lib" blo "2900,21800" tm "BdLibraryNameMgr" ) *14 (Text uid 57,0 va (VaSet font "Arial,8,1" ) xt "2900,22000,7700,23000" st "spi_ltc2600" blo "2900,22800" tm "CptNameMgr" ) *15 (Text uid 58,0 va (VaSet font "Arial,8,1" ) xt "2900,23000,4700,24000" st "U_0" blo "2900,23800" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 59,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 60,0 text (MLText uid 61,0 va (VaSet font "Courier New,8,0" ) xt "6000,14000,6000,14000" ) header "" ) elements [ ] ) viewicon (ZoomableIcon uid 62,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "250,19250,1750,20750" iconName "VhdlFileViewIcon.png" iconMaskName "VhdlFileViewIcon.msk" ftype 10 ) ordering 1 viewiconposition 0 portVis (PortSigDisplay ) archType 1 archFileType "UNKNOWN" ) *16 (Net uid 63,0 lang 10 decl (Decl n "SCLK" t "std_logic" o 1 suid 1,0 ) declText (MLText uid 64,0 va (VaSet font "Courier New,8,0" ) xt "22000,5400,40500,6200" st "SIGNAL SCLK : std_logic := '0'" ) ) *17 (Net uid 71,0 lang 10 decl (Decl n "CS" t "std_logic" o 2 suid 2,0 ) declText (MLText uid 72,0 va (VaSet font "Courier New,8,0" ) xt "22000,3000,40500,3800" st "SIGNAL CS : std_logic := '1'" ) ) *18 (Net uid 79,0 lang 10 decl (Decl n "MOSI" t "std_logic" o 3 suid 3,0 ) declText (MLText uid 80,0 va (VaSet font "Courier New,8,0" ) xt "22000,4600,40500,5400" st "SIGNAL MOSI : std_logic := '0'" ) ) *19 (Net uid 87,0 lang 10 decl (Decl n "MISO" t "std_logic" o 4 suid 4,0 ) declText (MLText uid 88,0 va (VaSet font "Courier New,8,0" ) xt "22000,3800,40500,4600" st "SIGNAL MISO : std_logic := 'Z'" ) ) *20 (Net uid 95,0 lang 10 decl (Decl n "ready" t "std_logic" o 5 suid 5,0 ) declText (MLText uid 96,0 va (VaSet font "Courier New,8,0" ) xt "22000,10200,40500,11000" st "SIGNAL ready : std_logic := '0'" ) ) *21 (Net uid 103,0 lang 10 decl (Decl n "busy" t "std_logic" o 6 suid 6,0 ) declText (MLText uid 104,0 va (VaSet font "Courier New,8,0" ) xt "22000,7000,40500,7800" st "SIGNAL busy : std_logic := '1'" ) ) *22 (Net uid 111,0 decl (Decl n "clk" t "std_logic" o 7 suid 7,0 ) declText (MLText uid 112,0 va (VaSet font "Courier New,8,0" ) xt "22000,7800,37000,8600" st "SIGNAL clk : std_logic" ) ) *23 (Net uid 119,0 decl (Decl n "transmit" t "std_logic" o 8 suid 8,0 ) declText (MLText uid 120,0 va (VaSet font "Courier New,8,0" ) xt "22000,11000,37000,11800" st "SIGNAL transmit : std_logic" ) ) *24 (Net uid 127,0 lang 10 decl (Decl n "command" t "std_logic_vector" b "(3 DOWNTO 0)" o 9 suid 9,0 ) declText (MLText uid 128,0 va (VaSet font "Courier New,8,0" ) xt "22000,8600,47000,9400" st "SIGNAL command : std_logic_vector(3 downto 0)" ) ) *25 (Net uid 135,0 lang 10 decl (Decl n "address" t "std_logic_vector" b "(3 DOWNTO 0)" o 10 suid 10,0 ) declText (MLText uid 136,0 va (VaSet font "Courier New,8,0" ) xt "22000,6200,47000,7000" st "SIGNAL address : std_logic_vector(3 downto 0)" ) ) *26 (Net uid 143,0 lang 10 decl (Decl n "data" t "std_logic_vector" b "(15 DOWNTO 0)" o 11 suid 11,0 ) declText (MLText uid 144,0 va (VaSet font "Courier New,8,0" ) xt "22000,9400,47500,10200" st "SIGNAL data : std_logic_vector(15 downto 0)" ) ) *27 (Blk uid 151,0 shape (Rectangle uid 152,0 va (VaSet vasetType 1 fg "39936,56832,65280" lineColor "0,0,32768" lineWidth 2 ) xt "30000,14000,42000,21000" ) ttg (MlTextGroup uid 153,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *28 (Text uid 154,0 va (VaSet font "Arial,8,1" ) xt "32150,16000,39850,17000" st "FACT_FAD_TB_lib" blo "32150,16800" tm "BdLibraryNameMgr" ) *29 (Text uid 155,0 va (VaSet font "Arial,8,1" ) xt "32150,17000,39450,18000" st "spi_ltc2600_tester" blo "32150,17800" tm "BlkNameMgr" ) *30 (Text uid 156,0 va (VaSet font "Arial,8,1" ) xt "32150,18000,33950,19000" st "U_1" blo "32150,18800" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 157,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 158,0 text (MLText uid 159,0 va (VaSet font "Courier New,8,0" ) xt "32150,26000,32150,26000" ) header "" ) elements [ ] ) viewicon (ZoomableIcon uid 160,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "30250,19250,31750,20750" iconName "VhdlFileViewIcon.png" iconMaskName "VhdlFileViewIcon.msk" ftype 10 ) ordering 1 viewiconposition 0 blkPorts [ "CS" "MISO" "MOSI" "SCLK" "busy" "ready" "address" "command" "data" "transmit" ] ) *31 (Grouping uid 249,0 optionalChildren [ *32 (CommentText uid 251,0 shape (Rectangle uid 252,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "26000,48000,43000,49000" ) oxt "18000,70000,35000,71000" text (MLText uid 253,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "26200,48000,35800,49000" st " by %user on %dd %month %year " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *33 (CommentText uid 254,0 shape (Rectangle uid 255,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "43000,44000,47000,45000" ) oxt "35000,66000,39000,67000" text (MLText uid 256,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "43200,44000,46200,45000" st " Project: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *34 (CommentText uid 257,0 shape (Rectangle uid 258,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "26000,46000,43000,47000" ) oxt "18000,68000,35000,69000" text (MLText uid 259,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "26200,46000,36200,47000" st " " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *35 (CommentText uid 260,0 shape (Rectangle uid 261,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "22000,46000,26000,47000" ) oxt "14000,68000,18000,69000" text (MLText uid 262,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "22200,46000,24300,47000" st " Title: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *36 (CommentText uid 263,0 shape (Rectangle uid 264,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "43000,45000,63000,49000" ) oxt "35000,67000,55000,71000" text (MLText uid 265,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "43200,45200,52400,46200" st " " tm "CommentText" wrapOption 3 visibleHeight 4000 visibleWidth 20000 ) ignorePrefs 1 titleBlock 1 ) *37 (CommentText uid 266,0 shape (Rectangle uid 267,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "47000,44000,63000,45000" ) oxt "39000,66000,55000,67000" text (MLText uid 268,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "47200,44000,51700,45000" st " %project_name " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 16000 ) position 1 ignorePrefs 1 titleBlock 1 ) *38 (CommentText uid 269,0 shape (Rectangle uid 270,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "22000,44000,43000,46000" ) oxt "14000,66000,35000,68000" text (MLText uid 271,0 va (VaSet fg "32768,0,0" ) xt "29150,44500,35850,45500" st " " ju 0 tm "CommentText" wrapOption 3 visibleHeight 2000 visibleWidth 21000 ) position 1 ignorePrefs 1 titleBlock 1 ) *39 (CommentText uid 272,0 shape (Rectangle uid 273,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "22000,47000,26000,48000" ) oxt "14000,69000,18000,70000" text (MLText uid 274,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "22200,47000,24300,48000" st " Path: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *40 (CommentText uid 275,0 shape (Rectangle uid 276,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "22000,48000,26000,49000" ) oxt "14000,70000,18000,71000" text (MLText uid 277,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "22200,48000,24900,49000" st " Edited: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *41 (CommentText uid 278,0 shape (Rectangle uid 279,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "26000,47000,43000,48000" ) oxt "18000,69000,35000,70000" text (MLText uid 280,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "26200,47000,41200,48000" st " %library/%unit/%view " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) ] shape (GroupingShape uid 250,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineStyle 2 lineWidth 2 ) xt "22000,44000,63000,49000" ) oxt "14000,66000,55000,71000" ) *42 (SaComponent uid 448,0 optionalChildren [ *43 (CptPort uid 437,0 ps "OnEdgeStrategy" shape (Triangle uid 438,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "10000,30625,10750,31375" ) tg (CPTG uid 439,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 440,0 va (VaSet ) xt "7700,30500,9000,31500" st "clk" ju 2 blo "9000,31300" ) ) thePort (LogicalPort m 1 decl (Decl n "clk" t "std_logic" preAdd 0 posAdd 0 o 1 suid 1,0 i "'0'" ) ) ) *44 (CptPort uid 441,0 ps "OnEdgeStrategy" shape (Triangle uid 442,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "10000,31625,10750,32375" ) tg (CPTG uid 443,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 444,0 va (VaSet ) xt "7700,31500,9000,32500" st "rst" ju 2 blo "9000,32300" ) ) thePort (LogicalPort m 1 decl (Decl n "rst" t "std_logic" preAdd 0 posAdd 0 o 2 suid 2,0 i "'0'" ) ) ) ] shape (Rectangle uid 449,0 va (VaSet vasetType 1 fg "0,49152,49152" lineColor "0,0,50000" lineWidth 2 ) xt "2000,30000,10000,34000" ) oxt "22000,15000,30000,19000" ttg (MlTextGroup uid 450,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *45 (Text uid 451,0 va (VaSet font "Arial,8,1" ) xt "2150,34000,9850,35000" st "FACT_FAD_TB_lib" blo "2150,34800" tm "BdLibraryNameMgr" ) *46 (Text uid 452,0 va (VaSet font "Arial,8,1" ) xt "2150,35000,8850,36000" st "clock_generator" blo "2150,35800" tm "CptNameMgr" ) *47 (Text uid 453,0 va (VaSet font "Arial,8,1" ) xt "2150,36000,3950,37000" st "U_2" blo "2150,36800" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 454,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 455,0 text (MLText uid 456,0 va (VaSet font "Courier New,8,0" ) xt "-1000,28400,17500,30000" st "clock_period = 20 ns ( time ) reset_time = 50 ns ( time ) " ) header "" ) elements [ (GiElement name "clock_period" type "time" value "20 ns" ) (GiElement name "reset_time" type "time" value "50 ns" ) ] ) viewicon (ZoomableIcon uid 457,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "2250,32250,3750,33750" iconName "VhdlFileViewIcon.png" iconMaskName "VhdlFileViewIcon.msk" ftype 10 ) ordering 1 viewiconposition 0 portVis (PortSigDisplay ) archFileType "UNKNOWN" ) *48 (Wire uid 65,0 shape (OrthoPolyLine uid 66,0 va (VaSet vasetType 3 ) xt "12750,15000,17000,15000" pts [ "12750,15000" "17000,15000" ] ) start &3 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 69,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 70,0 va (VaSet ) xt "14000,14000,16400,15000" st "SCLK" blo "14000,14800" tm "WireNameMgr" ) ) on &16 ) *49 (Wire uid 73,0 shape (OrthoPolyLine uid 74,0 va (VaSet vasetType 3 ) xt "12750,16000,17000,16000" pts [ "12750,16000" "17000,16000" ] ) start &4 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 77,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 78,0 va (VaSet ) xt "14000,15000,15500,16000" st "CS" blo "14000,15800" tm "WireNameMgr" ) ) on &17 ) *50 (Wire uid 81,0 shape (OrthoPolyLine uid 82,0 va (VaSet vasetType 3 ) xt "12750,17000,17000,17000" pts [ "12750,17000" "17000,17000" ] ) start &5 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 85,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 86,0 va (VaSet ) xt "14000,16000,16400,17000" st "MOSI" blo "14000,16800" tm "WireNameMgr" ) ) on &18 ) *51 (Wire uid 89,0 shape (OrthoPolyLine uid 90,0 va (VaSet vasetType 3 ) xt "12750,18000,17000,18000" pts [ "12750,18000" "17000,18000" ] ) start &6 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 93,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 94,0 va (VaSet ) xt "14000,17000,16400,18000" st "MISO" blo "14000,17800" tm "WireNameMgr" ) ) on &19 ) *52 (Wire uid 97,0 shape (OrthoPolyLine uid 98,0 va (VaSet vasetType 3 ) xt "12750,19000,17000,19000" pts [ "12750,19000" "17000,19000" ] ) start &11 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 101,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 102,0 va (VaSet ) xt "14000,18000,16200,19000" st "ready" blo "14000,18800" tm "WireNameMgr" ) ) on &20 ) *53 (Wire uid 105,0 shape (OrthoPolyLine uid 106,0 va (VaSet vasetType 3 ) xt "12750,20000,17000,20000" pts [ "12750,20000" "17000,20000" ] ) start &12 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 109,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 110,0 va (VaSet ) xt "14000,19000,15900,20000" st "busy" blo "14000,19800" tm "WireNameMgr" ) ) on &21 ) *54 (Wire uid 113,0 shape (OrthoPolyLine uid 114,0 va (VaSet vasetType 3 ) xt "-9000,15000,-750,15000" pts [ "-9000,15000" "-750,15000" ] ) end &2 sat 16 eat 32 st 0 sf 1 si 0 tg (WTG uid 117,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 118,0 va (VaSet ) xt "-8000,14000,-6700,15000" st "clk" blo "-8000,14800" tm "WireNameMgr" ) ) on &22 ) *55 (Wire uid 121,0 shape (OrthoPolyLine uid 122,0 va (VaSet vasetType 3 ) xt "-9000,16000,-750,16000" pts [ "-9000,16000" "-750,16000" ] ) end &7 sat 16 eat 32 st 0 sf 1 si 0 tg (WTG uid 125,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 126,0 va (VaSet ) xt "-8000,15000,-4900,16000" st "transmit" blo "-8000,15800" tm "WireNameMgr" ) ) on &23 ) *56 (Wire uid 129,0 shape (OrthoPolyLine uid 130,0 va (VaSet vasetType 3 lineWidth 2 ) xt "-9000,17000,-750,17000" pts [ "-9000,17000" "-750,17000" ] ) end &8 sat 16 eat 32 sty 1 st 0 sf 1 si 0 tg (WTG uid 133,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 134,0 va (VaSet ) xt "-8000,16000,-1800,17000" st "command : (3:0)" blo "-8000,16800" tm "WireNameMgr" ) ) on &24 ) *57 (Wire uid 137,0 shape (OrthoPolyLine uid 138,0 va (VaSet vasetType 3 lineWidth 2 ) xt "-9000,18000,-750,18000" pts [ "-9000,18000" "-750,18000" ] ) end &9 sat 16 eat 32 sty 1 st 0 sf 1 si 0 tg (WTG uid 141,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 142,0 va (VaSet ) xt "-8000,17000,-2300,18000" st "address : (3:0)" blo "-8000,17800" tm "WireNameMgr" ) ) on &25 ) *58 (Wire uid 145,0 shape (OrthoPolyLine uid 146,0 va (VaSet vasetType 3 lineWidth 2 ) xt "-9000,19000,-750,19000" pts [ "-9000,19000" "-750,19000" ] ) end &10 sat 16 eat 32 sty 1 st 0 sf 1 si 0 tg (WTG uid 149,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 150,0 va (VaSet ) xt "-8000,18000,-3200,19000" st "data : (15:0)" blo "-8000,18800" tm "WireNameMgr" ) ) on &26 ) *59 (Wire uid 161,0 shape (OrthoPolyLine uid 162,0 va (VaSet vasetType 3 ) xt "42000,17000,47000,17000" pts [ "42000,17000" "47000,17000" ] ) start &27 sat 1 eat 16 st 0 sf 1 si 0 tg (WTG uid 167,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 168,0 va (VaSet ) xt "44000,16000,46400,17000" st "MOSI" blo "44000,16800" tm "WireNameMgr" ) ) on &18 ) *60 (Wire uid 169,0 shape (OrthoPolyLine uid 170,0 va (VaSet vasetType 3 ) xt "42000,20000,47000,20000" pts [ "42000,20000" "47000,20000" ] ) start &27 sat 1 eat 16 st 0 sf 1 si 0 tg (WTG uid 175,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 176,0 va (VaSet ) xt "44000,19000,45900,20000" st "busy" blo "44000,19800" tm "WireNameMgr" ) ) on &21 ) *61 (Wire uid 177,0 shape (OrthoPolyLine uid 178,0 va (VaSet vasetType 3 lineWidth 2 ) xt "21000,18000,30000,18000" pts [ "21000,18000" "30000,18000" ] ) end &27 sat 16 eat 2 sty 1 st 0 sf 1 si 0 tg (WTG uid 183,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 184,0 va (VaSet ) xt "22000,17000,27700,18000" st "address : (3:0)" blo "22000,17800" tm "WireNameMgr" ) ) on &25 ) *62 (Wire uid 185,0 shape (OrthoPolyLine uid 186,0 va (VaSet vasetType 3 lineWidth 2 ) xt "21000,17000,30000,17000" pts [ "21000,17000" "30000,17000" ] ) end &27 sat 16 eat 2 sty 1 st 0 sf 1 si 0 tg (WTG uid 191,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 192,0 va (VaSet ) xt "22000,16000,28200,17000" st "command : (3:0)" blo "22000,16800" tm "WireNameMgr" ) ) on &24 ) *63 (Wire uid 193,0 shape (OrthoPolyLine uid 194,0 va (VaSet vasetType 3 ) xt "21000,16000,30000,16000" pts [ "21000,16000" "30000,16000" ] ) end &27 sat 16 eat 2 st 0 sf 1 si 0 tg (WTG uid 199,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 200,0 va (VaSet ) xt "22000,15000,25100,16000" st "transmit" blo "22000,15800" tm "WireNameMgr" ) ) on &23 ) *64 (Wire uid 201,0 shape (OrthoPolyLine uid 202,0 va (VaSet vasetType 3 lineWidth 2 ) xt "21000,19000,30000,19000" pts [ "21000,19000" "30000,19000" ] ) end &27 sat 16 eat 2 sty 1 st 0 sf 1 si 0 tg (WTG uid 207,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 208,0 va (VaSet ) xt "22000,18000,26800,19000" st "data : (15:0)" blo "22000,18800" tm "WireNameMgr" ) ) on &26 ) *65 (Wire uid 209,0 shape (OrthoPolyLine uid 210,0 va (VaSet vasetType 3 ) xt "42000,19000,47000,19000" pts [ "42000,19000" "47000,19000" ] ) start &27 sat 1 eat 16 st 0 sf 1 si 0 tg (WTG uid 215,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 216,0 va (VaSet ) xt "44000,18000,46200,19000" st "ready" blo "44000,18800" tm "WireNameMgr" ) ) on &20 ) *66 (Wire uid 217,0 shape (OrthoPolyLine uid 218,0 va (VaSet vasetType 3 ) xt "42000,15000,47000,15000" pts [ "42000,15000" "47000,15000" ] ) start &27 sat 1 eat 16 st 0 sf 1 si 0 tg (WTG uid 223,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 224,0 va (VaSet ) xt "44000,14000,46400,15000" st "SCLK" blo "44000,14800" tm "WireNameMgr" ) ) on &16 ) *67 (Wire uid 225,0 shape (OrthoPolyLine uid 226,0 va (VaSet vasetType 3 ) xt "21000,15000,30000,15000" pts [ "21000,15000" "30000,15000" ] ) sat 16 eat 16 st 0 sf 1 si 0 tg (WTG uid 231,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 232,0 va (VaSet ) xt "22000,14000,23300,15000" st "clk" blo "22000,14800" tm "WireNameMgr" ) ) on &22 ) *68 (Wire uid 233,0 shape (OrthoPolyLine uid 234,0 va (VaSet vasetType 3 ) xt "42000,16000,47000,16000" pts [ "42000,16000" "47000,16000" ] ) start &27 sat 1 eat 16 st 0 sf 1 si 0 tg (WTG uid 239,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 240,0 va (VaSet ) xt "43000,15000,44500,16000" st "CS" blo "43000,15800" tm "WireNameMgr" ) ) on &17 ) *69 (Wire uid 241,0 shape (OrthoPolyLine uid 242,0 va (VaSet vasetType 3 ) xt "42000,18000,47000,18000" pts [ "42000,18000" "47000,18000" ] ) start &27 sat 1 eat 16 st 0 sf 1 si 0 tg (WTG uid 247,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 248,0 va (VaSet ) xt "44000,17000,46400,18000" st "MISO" blo "44000,17800" tm "WireNameMgr" ) ) on &19 ) *70 (Wire uid 468,0 shape (OrthoPolyLine uid 469,0 va (VaSet vasetType 3 ) xt "10750,31000,14000,31000" pts [ "10750,31000" "14000,31000" ] ) start &43 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 472,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 473,0 va (VaSet ) xt "12000,30000,13300,31000" st "clk" blo "12000,30800" tm "WireNameMgr" ) ) on &22 ) ] bg "65535,65535,65535" grid (Grid origin "0,0" isVisible 1 isActive 1 xSpacing 1000 xySpacing 1000 xShown 1 yShown 1 color "26368,26368,26368" ) packageList *71 (PackageList uid 303,0 stg "VerticalLayoutStrategy" textVec [ *72 (Text uid 304,0 va (VaSet font "arial,8,1" ) xt "0,0,5400,1000" st "Package List" blo "0,800" ) *73 (MLText uid 305,0 va (VaSet ) xt "0,1000,12400,5000" st "LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.all;" tm "PackageList" ) ] ) compDirBlock (MlTextGroup uid 306,0 stg "VerticalLayoutStrategy" textVec [ *74 (Text uid 307,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,0,28100,1000" st "Compiler Directives" blo "20000,800" ) *75 (Text uid 308,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,1000,29600,2000" st "Pre-module directives:" blo "20000,1800" ) *76 (MLText uid 309,0 va (VaSet isHidden 1 ) xt "20000,2000,27500,4000" st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) *77 (Text uid 310,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,4000,30100,5000" st "Post-module directives:" blo "20000,4800" ) *78 (MLText uid 311,0 va (VaSet isHidden 1 ) xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) *79 (Text uid 312,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,5000,29900,6000" st "End-module directives:" blo "20000,5800" ) *80 (MLText uid 313,0 va (VaSet isHidden 1 ) xt "20000,6000,20000,6000" tm "BdCompilerDirectivesTextMgr" ) ] associable 1 ) windowSize "0,0,1281,1002" viewArea "-5624,2500,43182,40450" cachedDiagramExtent "-9400,0,63000,49000" hasePageBreakOrigin 1 pageBreakOrigin "-10000,0" lastUid 564,0 defaultCommentText (CommentText shape (Rectangle layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,0,15000,5000" ) text (MLText va (VaSet fg "0,0,32768" ) xt "200,200,2000,1200" st " Text " tm "CommentText" wrapOption 3 visibleHeight 4600 visibleWidth 14600 ) ) defaultPanel (Panel shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "32768,0,0" lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (Text va (VaSet font "Arial,8,1" ) xt "1000,1000,3800,2000" st "Panel0" blo "1000,1800" tm "PanelText" ) ) ) defaultBlk (Blk shape (Rectangle va (VaSet vasetType 1 fg "39936,56832,65280" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *81 (Text va (VaSet font "Arial,8,1" ) xt "2200,3500,5800,4500" st "" blo "2200,4300" tm "BdLibraryNameMgr" ) *82 (Text va (VaSet font "Arial,8,1" ) xt "2200,4500,5600,5500" st "" blo "2200,5300" tm "BlkNameMgr" ) *83 (Text va (VaSet font "Arial,8,1" ) xt "2200,5500,4000,6500" st "U_0" blo "2200,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "2200,13500,2200,13500" ) header "" ) elements [ ] ) viewicon (ZoomableIcon sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "0,0,1500,1500" iconName "UnknownFile.png" iconMaskName "UnknownFile.msk" ) viewiconposition 0 ) defaultMWComponent (MWC shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *84 (Text va (VaSet font "Arial,8,1" ) xt "550,3500,3450,4500" st "Library" blo "550,4300" ) *85 (Text va (VaSet font "Arial,8,1" ) xt "550,4500,7450,5500" st "MWComponent" blo "550,5300" ) *86 (Text va (VaSet font "Arial,8,1" ) xt "550,5500,2350,6500" st "U_0" blo "550,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "-6450,1500,-6450,1500" ) header "" ) elements [ ] ) portVis (PortSigDisplay ) prms (Property pclass "params" pname "params" ptn "String" ) visOptions (mwParamsVisibilityOptions ) ) defaultSaComponent (SaComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *87 (Text va (VaSet font "Arial,8,1" ) xt "900,3500,3800,4500" st "Library" blo "900,4300" tm "BdLibraryNameMgr" ) *88 (Text va (VaSet font "Arial,8,1" ) xt "900,4500,7100,5500" st "SaComponent" blo "900,5300" tm "CptNameMgr" ) *89 (Text va (VaSet font "Arial,8,1" ) xt "900,5500,2700,6500" st "U_0" blo "900,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "-6100,1500,-6100,1500" ) header "" ) elements [ ] ) viewicon (ZoomableIcon sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "0,0,1500,1500" iconName "UnknownFile.png" iconMaskName "UnknownFile.msk" ) viewiconposition 0 portVis (PortSigDisplay ) archFileType "UNKNOWN" ) defaultVhdlComponent (VhdlComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *90 (Text va (VaSet font "Arial,8,1" ) xt "500,3500,3400,4500" st "Library" blo "500,4300" ) *91 (Text va (VaSet font "Arial,8,1" ) xt "500,4500,7500,5500" st "VhdlComponent" blo "500,5300" ) *92 (Text va (VaSet font "Arial,8,1" ) xt "500,5500,2300,6500" st "U_0" blo "500,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "-6500,1500,-6500,1500" ) header "" ) elements [ ] ) portVis (PortSigDisplay ) entityPath "" archName "" archPath "" ) defaultVerilogComponent (VerilogComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "-450,0,8450,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *93 (Text va (VaSet font "Arial,8,1" ) xt "50,3500,2950,4500" st "Library" blo "50,4300" ) *94 (Text va (VaSet font "Arial,8,1" ) xt "50,4500,7950,5500" st "VerilogComponent" blo "50,5300" ) *95 (Text va (VaSet font "Arial,8,1" ) xt "50,5500,1850,6500" st "U_0" blo "50,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "-6950,1500,-6950,1500" ) header "" ) elements [ ] ) entityPath "" ) defaultHdlText (HdlText shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,37120" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *96 (Text va (VaSet font "Arial,8,1" ) xt "3150,4000,4850,5000" st "eb1" blo "3150,4800" tm "HdlTextNameMgr" ) *97 (Text va (VaSet font "Arial,8,1" ) xt "3150,5000,3950,6000" st "1" blo "3150,5800" tm "HdlTextNumberMgr" ) ] ) viewicon (ZoomableIcon sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "0,0,1500,1500" iconName "UnknownFile.png" iconMaskName "UnknownFile.msk" ) viewiconposition 0 ) defaultEmbeddedText (EmbeddedText commentText (CommentText ps "CenterOffsetStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,18000,5000" ) text (MLText va (VaSet ) xt "200,200,2000,1200" st " Text " tm "HdlTextMgr" wrapOption 3 visibleHeight 4600 visibleWidth 17600 ) ) ) defaultGlobalConnector (GlobalConnector shape (Circle va (VaSet vasetType 1 fg "65535,65535,0" ) xt "-1000,-1000,1000,1000" radius 1000 ) name (Text va (VaSet font "Arial,8,1" ) xt "-500,-500,500,500" st "G" blo "-500,300" ) ) defaultRipper (Ripper ps "OnConnectorStrategy" shape (Line2D pts [ "0,0" "1000,1000" ] va (VaSet vasetType 1 ) xt "0,0,1000,1000" ) ) defaultBdJunction (BdJunction ps "OnConnectorStrategy" shape (Circle va (VaSet vasetType 1 ) xt "-400,-400,400,400" radius 400 ) ) defaultPortIoIn (PortIoIn shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "-2000,-375,-500,375" ) (Line sl 0 ro 270 xt "-500,0,0,0" pts [ "-500,0" "0,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "-1375,-1000,-1375,-1000" ju 2 blo "-1375,-1000" tm "WireNameMgr" ) ) ) defaultPortIoOut (PortIoOut shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "500,-375,2000,375" ) (Line sl 0 ro 270 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "625,-1000,625,-1000" blo "625,-1000" tm "WireNameMgr" ) ) ) defaultPortIoInOut (PortIoInOut shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Hexagon sl 0 xt "500,-375,2000,375" ) (Line sl 0 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,-375,0,-375" blo "0,-375" tm "WireNameMgr" ) ) ) defaultPortIoBuffer (PortIoBuffer shape (CompositeShape va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" ) optionalChildren [ (Hexagon sl 0 xt "500,-375,2000,375" ) (Line sl 0 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,-375,0,-375" blo "0,-375" tm "WireNameMgr" ) ) ) defaultSignal (Wire shape (OrthoPolyLine va (VaSet vasetType 3 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 st 0 sf 1 si 0 tg (WTG ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,0,1900,1000" st "sig0" blo "0,800" tm "WireNameMgr" ) ) ) defaultBus (Wire shape (OrthoPolyLine va (VaSet vasetType 3 lineWidth 2 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 sty 1 st 0 sf 1 si 0 tg (WTG ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,0,2400,1000" st "dbus0" blo "0,800" tm "WireNameMgr" ) ) ) defaultBundle (Bundle shape (OrthoPolyLine va (VaSet vasetType 3 lineColor "32768,0,0" lineWidth 2 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 textGroup (BiTextGroup ps "ConnStartEndStrategy" stg "VerticalLayoutStrategy" first (Text va (VaSet ) xt "0,0,3000,1000" st "bundle0" blo "0,800" tm "BundleNameMgr" ) second (MLText va (VaSet ) xt "0,1000,1000,2000" st "()" tm "BundleContentsMgr" ) ) bundleNet &0 ) defaultPortMapFrame (PortMapFrame ps "PortMapFrameStrategy" shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,10000,12000" ) portMapText (BiTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" first (MLText va (VaSet ) ) second (MLText va (VaSet ) tm "PortMapTextMgr" ) ) ) defaultGenFrame (Frame shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "26368,26368,26368" lineStyle 2 lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (MLText va (VaSet ) xt "0,-1100,12600,-100" st "g0: FOR i IN 0 TO n GENERATE" tm "FrameTitleTextMgr" ) ) seqNum (FrameSequenceNumber ps "TopLeftStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "50,50,1250,1450" ) num (Text va (VaSet ) xt "250,250,1050,1250" st "1" blo "250,1050" tm "FrameSeqNumMgr" ) ) decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *98 (Text va (VaSet font "Arial,8,1" ) xt "14100,20000,22000,21000" st "Frame Declarations" blo "14100,20800" ) *99 (MLText va (VaSet ) xt "14100,21000,14100,21000" tm "BdFrameDeclTextMgr" ) ] ) ) defaultBlockFrame (Frame shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "26368,26368,26368" lineStyle 1 lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (MLText va (VaSet ) xt "0,-1100,7400,-100" st "b0: BLOCK (guard)" tm "FrameTitleTextMgr" ) ) seqNum (FrameSequenceNumber ps "TopLeftStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "50,50,1250,1450" ) num (Text va (VaSet ) xt "250,250,1050,1250" st "1" blo "250,1050" tm "FrameSeqNumMgr" ) ) decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *100 (Text va (VaSet font "Arial,8,1" ) xt "14100,20000,22000,21000" st "Frame Declarations" blo "14100,20800" ) *101 (MLText va (VaSet ) xt "14100,21000,14100,21000" tm "BdFrameDeclTextMgr" ) ] ) style 3 ) defaultSaCptPort (CptPort ps "OnEdgeStrategy" shape (Triangle ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,1800,1750" st "Port" blo "0,1550" ) ) thePort (LogicalPort decl (Decl n "Port" t "" o 0 ) ) ) defaultSaCptPortBuffer (CptPort ps "OnEdgeStrategy" shape (Diamond va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,1800,1750" st "Port" blo "0,1550" ) ) thePort (LogicalPort m 3 decl (Decl n "Port" t "" o 0 ) ) ) defaultDeclText (MLText va (VaSet font "Courier New,8,0" ) ) archDeclarativeBlock (BdArchDeclBlock uid 1,0 stg "BdArchDeclBlockLS" declLabel (Text uid 2,0 va (VaSet font "Arial,8,1" ) xt "20000,0,25400,1000" st "Declarations" blo "20000,800" ) portLabel (Text uid 3,0 va (VaSet font "Arial,8,1" ) xt "20000,1000,22700,2000" st "Ports:" blo "20000,1800" ) preUserLabel (Text uid 4,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,0,23800,1000" st "Pre User:" blo "20000,800" ) preUserText (MLText uid 5,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "20000,0,20000,0" tm "BdDeclarativeTextMgr" ) diagSignalLabel (Text uid 6,0 va (VaSet font "Arial,8,1" ) xt "20000,2000,27100,3000" st "Diagram Signals:" blo "20000,2800" ) postUserLabel (Text uid 7,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,0,24700,1000" st "Post User:" blo "20000,800" ) postUserText (MLText uid 8,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "20000,0,20000,0" tm "BdDeclarativeTextMgr" ) ) commonDM (CommonDM ldm (LogicalDM suid 11,0 usingSuid 1 emptyRow *102 (LEmptyRow ) uid 316,0 optionalChildren [ *103 (RefLabelRowHdr ) *104 (TitleRowHdr ) *105 (FilterRowHdr ) *106 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *107 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *108 (GroupColHdr tm "GroupColHdrMgr" ) *109 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) *110 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) *111 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) *112 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) *113 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) *114 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) *115 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "SCLK" t "std_logic" o 1 suid 1,0 ) ) uid 281,0 ) *116 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "CS" t "std_logic" o 2 suid 2,0 ) ) uid 283,0 ) *117 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "MOSI" t "std_logic" o 3 suid 3,0 ) ) uid 285,0 ) *118 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "MISO" t "std_logic" o 4 suid 4,0 ) ) uid 287,0 ) *119 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "ready" t "std_logic" o 5 suid 5,0 ) ) uid 289,0 ) *120 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "busy" t "std_logic" o 6 suid 6,0 ) ) uid 291,0 ) *121 (LeafLogPort port (LogicalPort m 4 decl (Decl n "clk" t "std_logic" o 7 suid 7,0 ) ) uid 293,0 ) *122 (LeafLogPort port (LogicalPort m 4 decl (Decl n "transmit" t "std_logic" o 8 suid 8,0 ) ) uid 295,0 ) *123 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "command" t "std_logic_vector" b "(3 DOWNTO 0)" o 9 suid 9,0 ) ) uid 297,0 ) *124 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "address" t "std_logic_vector" b "(3 DOWNTO 0)" o 10 suid 10,0 ) ) uid 299,0 ) *125 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "data" t "std_logic_vector" b "(15 DOWNTO 0)" o 11 suid 11,0 ) ) uid 301,0 ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 329,0 optionalChildren [ *126 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *127 (MRCItem litem &102 pos 11 dimension 20 ) uid 331,0 optionalChildren [ *128 (MRCItem litem &103 pos 0 dimension 20 uid 332,0 ) *129 (MRCItem litem &104 pos 1 dimension 23 uid 333,0 ) *130 (MRCItem litem &105 pos 2 hidden 1 dimension 20 uid 334,0 ) *131 (MRCItem litem &115 pos 0 dimension 20 uid 282,0 ) *132 (MRCItem litem &116 pos 1 dimension 20 uid 284,0 ) *133 (MRCItem litem &117 pos 2 dimension 20 uid 286,0 ) *134 (MRCItem litem &118 pos 3 dimension 20 uid 288,0 ) *135 (MRCItem litem &119 pos 4 dimension 20 uid 290,0 ) *136 (MRCItem litem &120 pos 5 dimension 20 uid 292,0 ) *137 (MRCItem litem &121 pos 6 dimension 20 uid 294,0 ) *138 (MRCItem litem &122 pos 7 dimension 20 uid 296,0 ) *139 (MRCItem litem &123 pos 8 dimension 20 uid 298,0 ) *140 (MRCItem litem &124 pos 9 dimension 20 uid 300,0 ) *141 (MRCItem litem &125 pos 10 dimension 20 uid 302,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 335,0 optionalChildren [ *142 (MRCItem litem &106 pos 0 dimension 20 uid 336,0 ) *143 (MRCItem litem &108 pos 1 dimension 50 uid 337,0 ) *144 (MRCItem litem &109 pos 2 dimension 100 uid 338,0 ) *145 (MRCItem litem &110 pos 3 dimension 50 uid 339,0 ) *146 (MRCItem litem &111 pos 4 dimension 100 uid 340,0 ) *147 (MRCItem litem &112 pos 5 dimension 100 uid 341,0 ) *148 (MRCItem litem &113 pos 6 dimension 50 uid 342,0 ) *149 (MRCItem litem &114 pos 7 dimension 80 uid 343,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" uid 330,0 vaOverrides [ ] ) ] ) uid 315,0 ) genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *150 (LEmptyRow ) uid 345,0 optionalChildren [ *151 (RefLabelRowHdr ) *152 (TitleRowHdr ) *153 (FilterRowHdr ) *154 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *155 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *156 (GroupColHdr tm "GroupColHdrMgr" ) *157 (NameColHdr tm "GenericNameColHdrMgr" ) *158 (TypeColHdr tm "GenericTypeColHdrMgr" ) *159 (InitColHdr tm "GenericValueColHdrMgr" ) *160 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) *161 (EolColHdr tm "GenericEolColHdrMgr" ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 357,0 optionalChildren [ *162 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *163 (MRCItem litem &150 pos 0 dimension 20 ) uid 359,0 optionalChildren [ *164 (MRCItem litem &151 pos 0 dimension 20 uid 360,0 ) *165 (MRCItem litem &152 pos 1 dimension 23 uid 361,0 ) *166 (MRCItem litem &153 pos 2 hidden 1 dimension 20 uid 362,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 363,0 optionalChildren [ *167 (MRCItem litem &154 pos 0 dimension 20 uid 364,0 ) *168 (MRCItem litem &156 pos 1 dimension 50 uid 365,0 ) *169 (MRCItem litem &157 pos 2 dimension 100 uid 366,0 ) *170 (MRCItem litem &158 pos 3 dimension 100 uid 367,0 ) *171 (MRCItem litem &159 pos 4 dimension 50 uid 368,0 ) *172 (MRCItem litem &160 pos 5 dimension 50 uid 369,0 ) *173 (MRCItem litem &161 pos 6 dimension 80 uid 370,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" uid 358,0 vaOverrides [ ] ) ] ) uid 344,0 type 1 ) activeModelName "BlockDiag" )