source: firmware/FAD/FACT_FAD_TB_lib/hds/spi_ltc2600_tb/struct.bd.bak@ 20115

Last change on this file since 20115 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 50.9 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "ieee"
12unitName "std_logic_arith"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "std_logic_unsigned"
18)
19]
20instances [
21(Instance
22name "U_0"
23duLibraryName "FACT_FAD_lib"
24duName "spi_ltc2600"
25elements [
26]
27mwi 0
28uid 53,0
29)
30(Instance
31name "U_1"
32duLibraryName "FACT_FAD_TB_lib"
33duName "spi_ltc2600_tester"
34elements [
35]
36mwi 0
37uid 151,0
38)
39(Instance
40name "U_2"
41duLibraryName "FACT_FAD_TB_lib"
42duName "clock_generator"
43elements [
44(GiElement
45name "clock_period"
46type "time"
47value "20 ns"
48)
49(GiElement
50name "reset_time"
51type "time"
52value "50 ns"
53)
54]
55mwi 0
56uid 448,0
57)
58]
59libraryRefs [
60"ieee"
61]
62)
63version "29.1"
64appVersion "2009.2 (Build 10)"
65noEmbeddedEditors 1
66model (BlockDiag
67VExpander (VariableExpander
68vvMap [
69(vvPair
70variable "HDLDir"
71value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
72)
73(vvPair
74variable "HDSDir"
75value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
76)
77(vvPair
78variable "SideDataDesignDir"
79value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb\\struct.bd.info"
80)
81(vvPair
82variable "SideDataUserDir"
83value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb\\struct.bd.user"
84)
85(vvPair
86variable "SourceDir"
87value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
88)
89(vvPair
90variable "appl"
91value "HDL Designer"
92)
93(vvPair
94variable "arch_name"
95value "struct"
96)
97(vvPair
98variable "config"
99value "%(unit)_%(view)_config"
100)
101(vvPair
102variable "d"
103value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb"
104)
105(vvPair
106variable "d_logical"
107value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb"
108)
109(vvPair
110variable "date"
111value "03.02.2011"
112)
113(vvPair
114variable "day"
115value "Do"
116)
117(vvPair
118variable "day_long"
119value "Donnerstag"
120)
121(vvPair
122variable "dd"
123value "03"
124)
125(vvPair
126variable "entity_name"
127value "spi_ltc2600_tb"
128)
129(vvPair
130variable "ext"
131value "<TBD>"
132)
133(vvPair
134variable "f"
135value "struct.bd"
136)
137(vvPair
138variable "f_logical"
139value "struct.bd"
140)
141(vvPair
142variable "f_noext"
143value "struct"
144)
145(vvPair
146variable "group"
147value "UNKNOWN"
148)
149(vvPair
150variable "host"
151value "E5B-LABOR6"
152)
153(vvPair
154variable "language"
155value "VHDL"
156)
157(vvPair
158variable "library"
159value "FACT_FAD_TB_lib"
160)
161(vvPair
162variable "library_downstream_ISEPARInvoke"
163value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
164)
165(vvPair
166variable "library_downstream_ImpactInvoke"
167value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
168)
169(vvPair
170variable "library_downstream_ModelSimCompiler"
171value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
172)
173(vvPair
174variable "library_downstream_XSTDataPrep"
175value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
176)
177(vvPair
178variable "mm"
179value "02"
180)
181(vvPair
182variable "module_name"
183value "spi_ltc2600_tb"
184)
185(vvPair
186variable "month"
187value "Feb"
188)
189(vvPair
190variable "month_long"
191value "Februar"
192)
193(vvPair
194variable "p"
195value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb\\struct.bd"
196)
197(vvPair
198variable "p_logical"
199value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb\\struct.bd"
200)
201(vvPair
202variable "package_name"
203value "<Undefined Variable>"
204)
205(vvPair
206variable "project_name"
207value "FACT_FAD"
208)
209(vvPair
210variable "series"
211value "HDL Designer Series"
212)
213(vvPair
214variable "task_DesignCompilerPath"
215value "<TBD>"
216)
217(vvPair
218variable "task_LeonardoPath"
219value "<TBD>"
220)
221(vvPair
222variable "task_ModelSimPath"
223value "C:\\modeltech_6.6a\\win32"
224)
225(vvPair
226variable "task_NC-SimPath"
227value "<TBD>"
228)
229(vvPair
230variable "task_PrecisionRTLPath"
231value "<TBD>"
232)
233(vvPair
234variable "task_QuestaSimPath"
235value "<TBD>"
236)
237(vvPair
238variable "task_VCSPath"
239value "<TBD>"
240)
241(vvPair
242variable "this_ext"
243value "bd"
244)
245(vvPair
246variable "this_file"
247value "struct"
248)
249(vvPair
250variable "this_file_logical"
251value "struct"
252)
253(vvPair
254variable "time"
255value "20:11:13"
256)
257(vvPair
258variable "unit"
259value "spi_ltc2600_tb"
260)
261(vvPair
262variable "user"
263value "dneise"
264)
265(vvPair
266variable "version"
267value "2009.2 (Build 10)"
268)
269(vvPair
270variable "view"
271value "struct"
272)
273(vvPair
274variable "year"
275value "2011"
276)
277(vvPair
278variable "yy"
279value "11"
280)
281]
282)
283LanguageMgr "VhdlLangMgr"
284uid 314,0
285optionalChildren [
286*1 (SaComponent
287uid 53,0
288optionalChildren [
289*2 (CptPort
290uid 9,0
291ps "OnEdgeStrategy"
292shape (Triangle
293uid 10,0
294ro 90
295va (VaSet
296vasetType 1
297fg "0,65535,0"
298)
299xt "-750,14625,0,15375"
300)
301tg (CPTG
302uid 11,0
303ps "CptPortTextPlaceStrategy"
304stg "VerticalLayoutStrategy"
305f (Text
306uid 12,0
307va (VaSet
308)
309xt "1000,14500,2300,15500"
310st "clk"
311blo "1000,15300"
312)
313)
314thePort (LogicalPort
315decl (Decl
316n "clk"
317t "std_logic"
318o 1
319)
320)
321)
322*3 (CptPort
323uid 13,0
324ps "OnEdgeStrategy"
325shape (Triangle
326uid 14,0
327ro 90
328va (VaSet
329vasetType 1
330fg "0,65535,0"
331)
332xt "12000,14625,12750,15375"
333)
334tg (CPTG
335uid 15,0
336ps "CptPortTextPlaceStrategy"
337stg "RightVerticalLayoutStrategy"
338f (Text
339uid 16,0
340va (VaSet
341)
342xt "8600,14500,11000,15500"
343st "SCLK"
344ju 2
345blo "11000,15300"
346)
347)
348thePort (LogicalPort
349m 1
350decl (Decl
351n "SCLK"
352t "std_logic"
353o 2
354i "'0'"
355)
356)
357)
358*4 (CptPort
359uid 17,0
360ps "OnEdgeStrategy"
361shape (Triangle
362uid 18,0
363ro 90
364va (VaSet
365vasetType 1
366fg "0,65535,0"
367)
368xt "12000,15625,12750,16375"
369)
370tg (CPTG
371uid 19,0
372ps "CptPortTextPlaceStrategy"
373stg "RightVerticalLayoutStrategy"
374f (Text
375uid 20,0
376va (VaSet
377)
378xt "9500,15500,11000,16500"
379st "CS"
380ju 2
381blo "11000,16300"
382)
383)
384thePort (LogicalPort
385m 1
386decl (Decl
387n "CS"
388t "std_logic"
389o 3
390i "'1'"
391)
392)
393)
394*5 (CptPort
395uid 21,0
396ps "OnEdgeStrategy"
397shape (Triangle
398uid 22,0
399ro 90
400va (VaSet
401vasetType 1
402fg "0,65535,0"
403)
404xt "12000,16625,12750,17375"
405)
406tg (CPTG
407uid 23,0
408ps "CptPortTextPlaceStrategy"
409stg "RightVerticalLayoutStrategy"
410f (Text
411uid 24,0
412va (VaSet
413)
414xt "8600,16500,11000,17500"
415st "MOSI"
416ju 2
417blo "11000,17300"
418)
419)
420thePort (LogicalPort
421m 1
422decl (Decl
423n "MOSI"
424t "std_logic"
425o 4
426i "'0'"
427)
428)
429)
430*6 (CptPort
431uid 25,0
432ps "OnEdgeStrategy"
433shape (Triangle
434uid 26,0
435ro 90
436va (VaSet
437vasetType 1
438fg "0,65535,0"
439)
440xt "12000,17625,12750,18375"
441)
442tg (CPTG
443uid 27,0
444ps "CptPortTextPlaceStrategy"
445stg "RightVerticalLayoutStrategy"
446f (Text
447uid 28,0
448va (VaSet
449)
450xt "8600,17500,11000,18500"
451st "MISO"
452ju 2
453blo "11000,18300"
454)
455)
456thePort (LogicalPort
457m 1
458decl (Decl
459n "MISO"
460t "std_logic"
461o 5
462i "'Z'"
463)
464)
465)
466*7 (CptPort
467uid 29,0
468ps "OnEdgeStrategy"
469shape (Triangle
470uid 30,0
471ro 90
472va (VaSet
473vasetType 1
474fg "0,65535,0"
475)
476xt "-750,15625,0,16375"
477)
478tg (CPTG
479uid 31,0
480ps "CptPortTextPlaceStrategy"
481stg "VerticalLayoutStrategy"
482f (Text
483uid 32,0
484va (VaSet
485)
486xt "1000,15500,4100,16500"
487st "transmit"
488blo "1000,16300"
489)
490)
491thePort (LogicalPort
492decl (Decl
493n "transmit"
494t "std_logic"
495o 6
496)
497)
498)
499*8 (CptPort
500uid 33,0
501ps "OnEdgeStrategy"
502shape (Triangle
503uid 34,0
504ro 90
505va (VaSet
506vasetType 1
507fg "0,65535,0"
508)
509xt "-750,16625,0,17375"
510)
511tg (CPTG
512uid 35,0
513ps "CptPortTextPlaceStrategy"
514stg "VerticalLayoutStrategy"
515f (Text
516uid 36,0
517va (VaSet
518)
519xt "1000,16500,7200,17500"
520st "command : (3:0)"
521blo "1000,17300"
522)
523)
524thePort (LogicalPort
525decl (Decl
526n "command"
527t "std_logic_vector"
528b "(3 downto 0)"
529o 7
530)
531)
532)
533*9 (CptPort
534uid 37,0
535ps "OnEdgeStrategy"
536shape (Triangle
537uid 38,0
538ro 90
539va (VaSet
540vasetType 1
541fg "0,65535,0"
542)
543xt "-750,17625,0,18375"
544)
545tg (CPTG
546uid 39,0
547ps "CptPortTextPlaceStrategy"
548stg "VerticalLayoutStrategy"
549f (Text
550uid 40,0
551va (VaSet
552)
553xt "1000,17500,6700,18500"
554st "address : (3:0)"
555blo "1000,18300"
556)
557)
558thePort (LogicalPort
559decl (Decl
560n "address"
561t "std_logic_vector"
562b "(3 downto 0)"
563o 8
564)
565)
566)
567*10 (CptPort
568uid 41,0
569ps "OnEdgeStrategy"
570shape (Triangle
571uid 42,0
572ro 90
573va (VaSet
574vasetType 1
575fg "0,65535,0"
576)
577xt "-750,18625,0,19375"
578)
579tg (CPTG
580uid 43,0
581ps "CptPortTextPlaceStrategy"
582stg "VerticalLayoutStrategy"
583f (Text
584uid 44,0
585va (VaSet
586)
587xt "1000,18500,5800,19500"
588st "data : (15:0)"
589blo "1000,19300"
590)
591)
592thePort (LogicalPort
593decl (Decl
594n "data"
595t "std_logic_vector"
596b "(15 downto 0)"
597o 9
598)
599)
600)
601*11 (CptPort
602uid 45,0
603ps "OnEdgeStrategy"
604shape (Triangle
605uid 46,0
606ro 90
607va (VaSet
608vasetType 1
609fg "0,65535,0"
610)
611xt "12000,18625,12750,19375"
612)
613tg (CPTG
614uid 47,0
615ps "CptPortTextPlaceStrategy"
616stg "RightVerticalLayoutStrategy"
617f (Text
618uid 48,0
619va (VaSet
620)
621xt "8800,18500,11000,19500"
622st "ready"
623ju 2
624blo "11000,19300"
625)
626)
627thePort (LogicalPort
628m 1
629decl (Decl
630n "ready"
631t "std_logic"
632o 10
633i "'0'"
634)
635)
636)
637*12 (CptPort
638uid 49,0
639ps "OnEdgeStrategy"
640shape (Triangle
641uid 50,0
642ro 90
643va (VaSet
644vasetType 1
645fg "0,65535,0"
646)
647xt "12000,19625,12750,20375"
648)
649tg (CPTG
650uid 51,0
651ps "CptPortTextPlaceStrategy"
652stg "RightVerticalLayoutStrategy"
653f (Text
654uid 52,0
655va (VaSet
656)
657xt "9100,19500,11000,20500"
658st "busy"
659ju 2
660blo "11000,20300"
661)
662)
663thePort (LogicalPort
664m 1
665decl (Decl
666n "busy"
667t "std_logic"
668o 11
669i "'1'"
670)
671)
672)
673]
674shape (Rectangle
675uid 54,0
676va (VaSet
677vasetType 1
678fg "0,65535,0"
679lineColor "0,32896,0"
680lineWidth 2
681)
682xt "0,14000,12000,21000"
683)
684ttg (MlTextGroup
685uid 55,0
686ps "CenterOffsetStrategy"
687stg "VerticalLayoutStrategy"
688textVec [
689*13 (Text
690uid 56,0
691va (VaSet
692font "Arial,8,1"
693)
694xt "2900,21000,9100,22000"
695st "FACT_FAD_lib"
696blo "2900,21800"
697tm "BdLibraryNameMgr"
698)
699*14 (Text
700uid 57,0
701va (VaSet
702font "Arial,8,1"
703)
704xt "2900,22000,7700,23000"
705st "spi_ltc2600"
706blo "2900,22800"
707tm "CptNameMgr"
708)
709*15 (Text
710uid 58,0
711va (VaSet
712font "Arial,8,1"
713)
714xt "2900,23000,4700,24000"
715st "U_0"
716blo "2900,23800"
717tm "InstanceNameMgr"
718)
719]
720)
721ga (GenericAssociation
722uid 59,0
723ps "EdgeToEdgeStrategy"
724matrix (Matrix
725uid 60,0
726text (MLText
727uid 61,0
728va (VaSet
729font "Courier New,8,0"
730)
731xt "6000,14000,6000,14000"
732)
733header ""
734)
735elements [
736]
737)
738viewicon (ZoomableIcon
739uid 62,0
740sl 0
741va (VaSet
742vasetType 1
743fg "49152,49152,49152"
744)
745xt "250,19250,1750,20750"
746iconName "VhdlFileViewIcon.png"
747iconMaskName "VhdlFileViewIcon.msk"
748ftype 10
749)
750ordering 1
751viewiconposition 0
752portVis (PortSigDisplay
753)
754archType 1
755archFileType "UNKNOWN"
756)
757*16 (Net
758uid 63,0
759lang 10
760decl (Decl
761n "SCLK"
762t "std_logic"
763o 1
764suid 1,0
765)
766declText (MLText
767uid 64,0
768va (VaSet
769font "Courier New,8,0"
770)
771xt "22000,5400,40500,6200"
772st "SIGNAL SCLK : std_logic := '0'"
773)
774)
775*17 (Net
776uid 71,0
777lang 10
778decl (Decl
779n "CS"
780t "std_logic"
781o 2
782suid 2,0
783)
784declText (MLText
785uid 72,0
786va (VaSet
787font "Courier New,8,0"
788)
789xt "22000,3000,40500,3800"
790st "SIGNAL CS : std_logic := '1'"
791)
792)
793*18 (Net
794uid 79,0
795lang 10
796decl (Decl
797n "MOSI"
798t "std_logic"
799o 3
800suid 3,0
801)
802declText (MLText
803uid 80,0
804va (VaSet
805font "Courier New,8,0"
806)
807xt "22000,4600,40500,5400"
808st "SIGNAL MOSI : std_logic := '0'"
809)
810)
811*19 (Net
812uid 87,0
813lang 10
814decl (Decl
815n "MISO"
816t "std_logic"
817o 4
818suid 4,0
819)
820declText (MLText
821uid 88,0
822va (VaSet
823font "Courier New,8,0"
824)
825xt "22000,3800,40500,4600"
826st "SIGNAL MISO : std_logic := 'Z'"
827)
828)
829*20 (Net
830uid 95,0
831lang 10
832decl (Decl
833n "ready"
834t "std_logic"
835o 5
836suid 5,0
837)
838declText (MLText
839uid 96,0
840va (VaSet
841font "Courier New,8,0"
842)
843xt "22000,10200,40500,11000"
844st "SIGNAL ready : std_logic := '0'"
845)
846)
847*21 (Net
848uid 103,0
849lang 10
850decl (Decl
851n "busy"
852t "std_logic"
853o 6
854suid 6,0
855)
856declText (MLText
857uid 104,0
858va (VaSet
859font "Courier New,8,0"
860)
861xt "22000,7000,40500,7800"
862st "SIGNAL busy : std_logic := '1'"
863)
864)
865*22 (Net
866uid 111,0
867decl (Decl
868n "clk"
869t "std_logic"
870o 7
871suid 7,0
872)
873declText (MLText
874uid 112,0
875va (VaSet
876font "Courier New,8,0"
877)
878xt "22000,7800,37000,8600"
879st "SIGNAL clk : std_logic"
880)
881)
882*23 (Net
883uid 119,0
884decl (Decl
885n "transmit"
886t "std_logic"
887o 8
888suid 8,0
889)
890declText (MLText
891uid 120,0
892va (VaSet
893font "Courier New,8,0"
894)
895xt "22000,11000,37000,11800"
896st "SIGNAL transmit : std_logic"
897)
898)
899*24 (Net
900uid 127,0
901lang 10
902decl (Decl
903n "command"
904t "std_logic_vector"
905b "(3 DOWNTO 0)"
906o 9
907suid 9,0
908)
909declText (MLText
910uid 128,0
911va (VaSet
912font "Courier New,8,0"
913)
914xt "22000,8600,47000,9400"
915st "SIGNAL command : std_logic_vector(3 downto 0)"
916)
917)
918*25 (Net
919uid 135,0
920lang 10
921decl (Decl
922n "address"
923t "std_logic_vector"
924b "(3 DOWNTO 0)"
925o 10
926suid 10,0
927)
928declText (MLText
929uid 136,0
930va (VaSet
931font "Courier New,8,0"
932)
933xt "22000,6200,47000,7000"
934st "SIGNAL address : std_logic_vector(3 downto 0)"
935)
936)
937*26 (Net
938uid 143,0
939lang 10
940decl (Decl
941n "data"
942t "std_logic_vector"
943b "(15 DOWNTO 0)"
944o 11
945suid 11,0
946)
947declText (MLText
948uid 144,0
949va (VaSet
950font "Courier New,8,0"
951)
952xt "22000,9400,47500,10200"
953st "SIGNAL data : std_logic_vector(15 downto 0)"
954)
955)
956*27 (Blk
957uid 151,0
958shape (Rectangle
959uid 152,0
960va (VaSet
961vasetType 1
962fg "39936,56832,65280"
963lineColor "0,0,32768"
964lineWidth 2
965)
966xt "30000,14000,42000,21000"
967)
968ttg (MlTextGroup
969uid 153,0
970ps "CenterOffsetStrategy"
971stg "VerticalLayoutStrategy"
972textVec [
973*28 (Text
974uid 154,0
975va (VaSet
976font "Arial,8,1"
977)
978xt "32150,16000,39850,17000"
979st "FACT_FAD_TB_lib"
980blo "32150,16800"
981tm "BdLibraryNameMgr"
982)
983*29 (Text
984uid 155,0
985va (VaSet
986font "Arial,8,1"
987)
988xt "32150,17000,39450,18000"
989st "spi_ltc2600_tester"
990blo "32150,17800"
991tm "BlkNameMgr"
992)
993*30 (Text
994uid 156,0
995va (VaSet
996font "Arial,8,1"
997)
998xt "32150,18000,33950,19000"
999st "U_1"
1000blo "32150,18800"
1001tm "InstanceNameMgr"
1002)
1003]
1004)
1005ga (GenericAssociation
1006uid 157,0
1007ps "EdgeToEdgeStrategy"
1008matrix (Matrix
1009uid 158,0
1010text (MLText
1011uid 159,0
1012va (VaSet
1013font "Courier New,8,0"
1014)
1015xt "32150,26000,32150,26000"
1016)
1017header ""
1018)
1019elements [
1020]
1021)
1022viewicon (ZoomableIcon
1023uid 160,0
1024sl 0
1025va (VaSet
1026vasetType 1
1027fg "49152,49152,49152"
1028)
1029xt "30250,19250,31750,20750"
1030iconName "VhdlFileViewIcon.png"
1031iconMaskName "VhdlFileViewIcon.msk"
1032ftype 10
1033)
1034ordering 1
1035viewiconposition 0
1036blkPorts [
1037"CS"
1038"MISO"
1039"MOSI"
1040"SCLK"
1041"busy"
1042"ready"
1043"address"
1044"command"
1045"data"
1046"transmit"
1047]
1048)
1049*31 (Grouping
1050uid 249,0
1051optionalChildren [
1052*32 (CommentText
1053uid 251,0
1054shape (Rectangle
1055uid 252,0
1056sl 0
1057va (VaSet
1058vasetType 1
1059fg "65280,65280,46080"
1060)
1061xt "26000,48000,43000,49000"
1062)
1063oxt "18000,70000,35000,71000"
1064text (MLText
1065uid 253,0
1066va (VaSet
1067fg "0,0,32768"
1068bg "0,0,32768"
1069)
1070xt "26200,48000,35800,49000"
1071st "
1072by %user on %dd %month %year
1073"
1074tm "CommentText"
1075wrapOption 3
1076visibleHeight 1000
1077visibleWidth 17000
1078)
1079position 1
1080ignorePrefs 1
1081titleBlock 1
1082)
1083*33 (CommentText
1084uid 254,0
1085shape (Rectangle
1086uid 255,0
1087sl 0
1088va (VaSet
1089vasetType 1
1090fg "65280,65280,46080"
1091)
1092xt "43000,44000,47000,45000"
1093)
1094oxt "35000,66000,39000,67000"
1095text (MLText
1096uid 256,0
1097va (VaSet
1098fg "0,0,32768"
1099bg "0,0,32768"
1100)
1101xt "43200,44000,46200,45000"
1102st "
1103Project:
1104"
1105tm "CommentText"
1106wrapOption 3
1107visibleHeight 1000
1108visibleWidth 4000
1109)
1110position 1
1111ignorePrefs 1
1112titleBlock 1
1113)
1114*34 (CommentText
1115uid 257,0
1116shape (Rectangle
1117uid 258,0
1118sl 0
1119va (VaSet
1120vasetType 1
1121fg "65280,65280,46080"
1122)
1123xt "26000,46000,43000,47000"
1124)
1125oxt "18000,68000,35000,69000"
1126text (MLText
1127uid 259,0
1128va (VaSet
1129fg "0,0,32768"
1130bg "0,0,32768"
1131)
1132xt "26200,46000,36200,47000"
1133st "
1134<enter diagram title here>
1135"
1136tm "CommentText"
1137wrapOption 3
1138visibleHeight 1000
1139visibleWidth 17000
1140)
1141position 1
1142ignorePrefs 1
1143titleBlock 1
1144)
1145*35 (CommentText
1146uid 260,0
1147shape (Rectangle
1148uid 261,0
1149sl 0
1150va (VaSet
1151vasetType 1
1152fg "65280,65280,46080"
1153)
1154xt "22000,46000,26000,47000"
1155)
1156oxt "14000,68000,18000,69000"
1157text (MLText
1158uid 262,0
1159va (VaSet
1160fg "0,0,32768"
1161bg "0,0,32768"
1162)
1163xt "22200,46000,24300,47000"
1164st "
1165Title:
1166"
1167tm "CommentText"
1168wrapOption 3
1169visibleHeight 1000
1170visibleWidth 4000
1171)
1172position 1
1173ignorePrefs 1
1174titleBlock 1
1175)
1176*36 (CommentText
1177uid 263,0
1178shape (Rectangle
1179uid 264,0
1180sl 0
1181va (VaSet
1182vasetType 1
1183fg "65280,65280,46080"
1184)
1185xt "43000,45000,63000,49000"
1186)
1187oxt "35000,67000,55000,71000"
1188text (MLText
1189uid 265,0
1190va (VaSet
1191fg "0,0,32768"
1192bg "0,0,32768"
1193)
1194xt "43200,45200,52400,46200"
1195st "
1196<enter comments here>
1197"
1198tm "CommentText"
1199wrapOption 3
1200visibleHeight 4000
1201visibleWidth 20000
1202)
1203ignorePrefs 1
1204titleBlock 1
1205)
1206*37 (CommentText
1207uid 266,0
1208shape (Rectangle
1209uid 267,0
1210sl 0
1211va (VaSet
1212vasetType 1
1213fg "65280,65280,46080"
1214)
1215xt "47000,44000,63000,45000"
1216)
1217oxt "39000,66000,55000,67000"
1218text (MLText
1219uid 268,0
1220va (VaSet
1221fg "0,0,32768"
1222bg "0,0,32768"
1223)
1224xt "47200,44000,51700,45000"
1225st "
1226%project_name
1227"
1228tm "CommentText"
1229wrapOption 3
1230visibleHeight 1000
1231visibleWidth 16000
1232)
1233position 1
1234ignorePrefs 1
1235titleBlock 1
1236)
1237*38 (CommentText
1238uid 269,0
1239shape (Rectangle
1240uid 270,0
1241sl 0
1242va (VaSet
1243vasetType 1
1244fg "65280,65280,46080"
1245)
1246xt "22000,44000,43000,46000"
1247)
1248oxt "14000,66000,35000,68000"
1249text (MLText
1250uid 271,0
1251va (VaSet
1252fg "32768,0,0"
1253)
1254xt "29150,44500,35850,45500"
1255st "
1256<company name>
1257"
1258ju 0
1259tm "CommentText"
1260wrapOption 3
1261visibleHeight 2000
1262visibleWidth 21000
1263)
1264position 1
1265ignorePrefs 1
1266titleBlock 1
1267)
1268*39 (CommentText
1269uid 272,0
1270shape (Rectangle
1271uid 273,0
1272sl 0
1273va (VaSet
1274vasetType 1
1275fg "65280,65280,46080"
1276)
1277xt "22000,47000,26000,48000"
1278)
1279oxt "14000,69000,18000,70000"
1280text (MLText
1281uid 274,0
1282va (VaSet
1283fg "0,0,32768"
1284bg "0,0,32768"
1285)
1286xt "22200,47000,24300,48000"
1287st "
1288Path:
1289"
1290tm "CommentText"
1291wrapOption 3
1292visibleHeight 1000
1293visibleWidth 4000
1294)
1295position 1
1296ignorePrefs 1
1297titleBlock 1
1298)
1299*40 (CommentText
1300uid 275,0
1301shape (Rectangle
1302uid 276,0
1303sl 0
1304va (VaSet
1305vasetType 1
1306fg "65280,65280,46080"
1307)
1308xt "22000,48000,26000,49000"
1309)
1310oxt "14000,70000,18000,71000"
1311text (MLText
1312uid 277,0
1313va (VaSet
1314fg "0,0,32768"
1315bg "0,0,32768"
1316)
1317xt "22200,48000,24900,49000"
1318st "
1319Edited:
1320"
1321tm "CommentText"
1322wrapOption 3
1323visibleHeight 1000
1324visibleWidth 4000
1325)
1326position 1
1327ignorePrefs 1
1328titleBlock 1
1329)
1330*41 (CommentText
1331uid 278,0
1332shape (Rectangle
1333uid 279,0
1334sl 0
1335va (VaSet
1336vasetType 1
1337fg "65280,65280,46080"
1338)
1339xt "26000,47000,43000,48000"
1340)
1341oxt "18000,69000,35000,70000"
1342text (MLText
1343uid 280,0
1344va (VaSet
1345fg "0,0,32768"
1346bg "0,0,32768"
1347)
1348xt "26200,47000,41200,48000"
1349st "
1350%library/%unit/%view
1351"
1352tm "CommentText"
1353wrapOption 3
1354visibleHeight 1000
1355visibleWidth 17000
1356)
1357position 1
1358ignorePrefs 1
1359titleBlock 1
1360)
1361]
1362shape (GroupingShape
1363uid 250,0
1364va (VaSet
1365vasetType 1
1366fg "65535,65535,65535"
1367lineStyle 2
1368lineWidth 2
1369)
1370xt "22000,44000,63000,49000"
1371)
1372oxt "14000,66000,55000,71000"
1373)
1374*42 (SaComponent
1375uid 448,0
1376optionalChildren [
1377*43 (CptPort
1378uid 437,0
1379ps "OnEdgeStrategy"
1380shape (Triangle
1381uid 438,0
1382ro 90
1383va (VaSet
1384vasetType 1
1385fg "0,65535,0"
1386)
1387xt "10000,30625,10750,31375"
1388)
1389tg (CPTG
1390uid 439,0
1391ps "CptPortTextPlaceStrategy"
1392stg "RightVerticalLayoutStrategy"
1393f (Text
1394uid 440,0
1395va (VaSet
1396)
1397xt "7700,30500,9000,31500"
1398st "clk"
1399ju 2
1400blo "9000,31300"
1401)
1402)
1403thePort (LogicalPort
1404m 1
1405decl (Decl
1406n "clk"
1407t "std_logic"
1408preAdd 0
1409posAdd 0
1410o 1
1411suid 1,0
1412i "'0'"
1413)
1414)
1415)
1416*44 (CptPort
1417uid 441,0
1418ps "OnEdgeStrategy"
1419shape (Triangle
1420uid 442,0
1421ro 90
1422va (VaSet
1423vasetType 1
1424fg "0,65535,0"
1425)
1426xt "10000,31625,10750,32375"
1427)
1428tg (CPTG
1429uid 443,0
1430ps "CptPortTextPlaceStrategy"
1431stg "RightVerticalLayoutStrategy"
1432f (Text
1433uid 444,0
1434va (VaSet
1435)
1436xt "7700,31500,9000,32500"
1437st "rst"
1438ju 2
1439blo "9000,32300"
1440)
1441)
1442thePort (LogicalPort
1443m 1
1444decl (Decl
1445n "rst"
1446t "std_logic"
1447preAdd 0
1448posAdd 0
1449o 2
1450suid 2,0
1451i "'0'"
1452)
1453)
1454)
1455]
1456shape (Rectangle
1457uid 449,0
1458va (VaSet
1459vasetType 1
1460fg "0,49152,49152"
1461lineColor "0,0,50000"
1462lineWidth 2
1463)
1464xt "2000,30000,10000,34000"
1465)
1466oxt "22000,15000,30000,19000"
1467ttg (MlTextGroup
1468uid 450,0
1469ps "CenterOffsetStrategy"
1470stg "VerticalLayoutStrategy"
1471textVec [
1472*45 (Text
1473uid 451,0
1474va (VaSet
1475font "Arial,8,1"
1476)
1477xt "2150,34000,9850,35000"
1478st "FACT_FAD_TB_lib"
1479blo "2150,34800"
1480tm "BdLibraryNameMgr"
1481)
1482*46 (Text
1483uid 452,0
1484va (VaSet
1485font "Arial,8,1"
1486)
1487xt "2150,35000,8850,36000"
1488st "clock_generator"
1489blo "2150,35800"
1490tm "CptNameMgr"
1491)
1492*47 (Text
1493uid 453,0
1494va (VaSet
1495font "Arial,8,1"
1496)
1497xt "2150,36000,3950,37000"
1498st "U_2"
1499blo "2150,36800"
1500tm "InstanceNameMgr"
1501)
1502]
1503)
1504ga (GenericAssociation
1505uid 454,0
1506ps "EdgeToEdgeStrategy"
1507matrix (Matrix
1508uid 455,0
1509text (MLText
1510uid 456,0
1511va (VaSet
1512font "Courier New,8,0"
1513)
1514xt "-1000,28400,17500,30000"
1515st "clock_period = 20 ns ( time )
1516reset_time = 50 ns ( time ) "
1517)
1518header ""
1519)
1520elements [
1521(GiElement
1522name "clock_period"
1523type "time"
1524value "20 ns"
1525)
1526(GiElement
1527name "reset_time"
1528type "time"
1529value "50 ns"
1530)
1531]
1532)
1533viewicon (ZoomableIcon
1534uid 457,0
1535sl 0
1536va (VaSet
1537vasetType 1
1538fg "49152,49152,49152"
1539)
1540xt "2250,32250,3750,33750"
1541iconName "VhdlFileViewIcon.png"
1542iconMaskName "VhdlFileViewIcon.msk"
1543ftype 10
1544)
1545ordering 1
1546viewiconposition 0
1547portVis (PortSigDisplay
1548)
1549archFileType "UNKNOWN"
1550)
1551*48 (Wire
1552uid 65,0
1553shape (OrthoPolyLine
1554uid 66,0
1555va (VaSet
1556vasetType 3
1557)
1558xt "12750,15000,17000,15000"
1559pts [
1560"12750,15000"
1561"17000,15000"
1562]
1563)
1564start &3
1565sat 32
1566eat 16
1567st 0
1568sf 1
1569si 0
1570tg (WTG
1571uid 69,0
1572ps "ConnStartEndStrategy"
1573stg "STSignalDisplayStrategy"
1574f (Text
1575uid 70,0
1576va (VaSet
1577)
1578xt "14000,14000,16400,15000"
1579st "SCLK"
1580blo "14000,14800"
1581tm "WireNameMgr"
1582)
1583)
1584on &16
1585)
1586*49 (Wire
1587uid 73,0
1588shape (OrthoPolyLine
1589uid 74,0
1590va (VaSet
1591vasetType 3
1592)
1593xt "12750,16000,17000,16000"
1594pts [
1595"12750,16000"
1596"17000,16000"
1597]
1598)
1599start &4
1600sat 32
1601eat 16
1602st 0
1603sf 1
1604si 0
1605tg (WTG
1606uid 77,0
1607ps "ConnStartEndStrategy"
1608stg "STSignalDisplayStrategy"
1609f (Text
1610uid 78,0
1611va (VaSet
1612)
1613xt "14000,15000,15500,16000"
1614st "CS"
1615blo "14000,15800"
1616tm "WireNameMgr"
1617)
1618)
1619on &17
1620)
1621*50 (Wire
1622uid 81,0
1623shape (OrthoPolyLine
1624uid 82,0
1625va (VaSet
1626vasetType 3
1627)
1628xt "12750,17000,17000,17000"
1629pts [
1630"12750,17000"
1631"17000,17000"
1632]
1633)
1634start &5
1635sat 32
1636eat 16
1637st 0
1638sf 1
1639si 0
1640tg (WTG
1641uid 85,0
1642ps "ConnStartEndStrategy"
1643stg "STSignalDisplayStrategy"
1644f (Text
1645uid 86,0
1646va (VaSet
1647)
1648xt "14000,16000,16400,17000"
1649st "MOSI"
1650blo "14000,16800"
1651tm "WireNameMgr"
1652)
1653)
1654on &18
1655)
1656*51 (Wire
1657uid 89,0
1658shape (OrthoPolyLine
1659uid 90,0
1660va (VaSet
1661vasetType 3
1662)
1663xt "12750,18000,17000,18000"
1664pts [
1665"12750,18000"
1666"17000,18000"
1667]
1668)
1669start &6
1670sat 32
1671eat 16
1672st 0
1673sf 1
1674si 0
1675tg (WTG
1676uid 93,0
1677ps "ConnStartEndStrategy"
1678stg "STSignalDisplayStrategy"
1679f (Text
1680uid 94,0
1681va (VaSet
1682)
1683xt "14000,17000,16400,18000"
1684st "MISO"
1685blo "14000,17800"
1686tm "WireNameMgr"
1687)
1688)
1689on &19
1690)
1691*52 (Wire
1692uid 97,0
1693shape (OrthoPolyLine
1694uid 98,0
1695va (VaSet
1696vasetType 3
1697)
1698xt "12750,19000,17000,19000"
1699pts [
1700"12750,19000"
1701"17000,19000"
1702]
1703)
1704start &11
1705sat 32
1706eat 16
1707st 0
1708sf 1
1709si 0
1710tg (WTG
1711uid 101,0
1712ps "ConnStartEndStrategy"
1713stg "STSignalDisplayStrategy"
1714f (Text
1715uid 102,0
1716va (VaSet
1717)
1718xt "14000,18000,16200,19000"
1719st "ready"
1720blo "14000,18800"
1721tm "WireNameMgr"
1722)
1723)
1724on &20
1725)
1726*53 (Wire
1727uid 105,0
1728shape (OrthoPolyLine
1729uid 106,0
1730va (VaSet
1731vasetType 3
1732)
1733xt "12750,20000,17000,20000"
1734pts [
1735"12750,20000"
1736"17000,20000"
1737]
1738)
1739start &12
1740sat 32
1741eat 16
1742st 0
1743sf 1
1744si 0
1745tg (WTG
1746uid 109,0
1747ps "ConnStartEndStrategy"
1748stg "STSignalDisplayStrategy"
1749f (Text
1750uid 110,0
1751va (VaSet
1752)
1753xt "14000,19000,15900,20000"
1754st "busy"
1755blo "14000,19800"
1756tm "WireNameMgr"
1757)
1758)
1759on &21
1760)
1761*54 (Wire
1762uid 113,0
1763shape (OrthoPolyLine
1764uid 114,0
1765va (VaSet
1766vasetType 3
1767)
1768xt "-9000,15000,-750,15000"
1769pts [
1770"-9000,15000"
1771"-750,15000"
1772]
1773)
1774end &2
1775sat 16
1776eat 32
1777st 0
1778sf 1
1779si 0
1780tg (WTG
1781uid 117,0
1782ps "ConnStartEndStrategy"
1783stg "STSignalDisplayStrategy"
1784f (Text
1785uid 118,0
1786va (VaSet
1787)
1788xt "-8000,14000,-6700,15000"
1789st "clk"
1790blo "-8000,14800"
1791tm "WireNameMgr"
1792)
1793)
1794on &22
1795)
1796*55 (Wire
1797uid 121,0
1798shape (OrthoPolyLine
1799uid 122,0
1800va (VaSet
1801vasetType 3
1802)
1803xt "-9000,16000,-750,16000"
1804pts [
1805"-9000,16000"
1806"-750,16000"
1807]
1808)
1809end &7
1810sat 16
1811eat 32
1812st 0
1813sf 1
1814si 0
1815tg (WTG
1816uid 125,0
1817ps "ConnStartEndStrategy"
1818stg "STSignalDisplayStrategy"
1819f (Text
1820uid 126,0
1821va (VaSet
1822)
1823xt "-8000,15000,-4900,16000"
1824st "transmit"
1825blo "-8000,15800"
1826tm "WireNameMgr"
1827)
1828)
1829on &23
1830)
1831*56 (Wire
1832uid 129,0
1833shape (OrthoPolyLine
1834uid 130,0
1835va (VaSet
1836vasetType 3
1837lineWidth 2
1838)
1839xt "-9000,17000,-750,17000"
1840pts [
1841"-9000,17000"
1842"-750,17000"
1843]
1844)
1845end &8
1846sat 16
1847eat 32
1848sty 1
1849st 0
1850sf 1
1851si 0
1852tg (WTG
1853uid 133,0
1854ps "ConnStartEndStrategy"
1855stg "STSignalDisplayStrategy"
1856f (Text
1857uid 134,0
1858va (VaSet
1859)
1860xt "-8000,16000,-1800,17000"
1861st "command : (3:0)"
1862blo "-8000,16800"
1863tm "WireNameMgr"
1864)
1865)
1866on &24
1867)
1868*57 (Wire
1869uid 137,0
1870shape (OrthoPolyLine
1871uid 138,0
1872va (VaSet
1873vasetType 3
1874lineWidth 2
1875)
1876xt "-9000,18000,-750,18000"
1877pts [
1878"-9000,18000"
1879"-750,18000"
1880]
1881)
1882end &9
1883sat 16
1884eat 32
1885sty 1
1886st 0
1887sf 1
1888si 0
1889tg (WTG
1890uid 141,0
1891ps "ConnStartEndStrategy"
1892stg "STSignalDisplayStrategy"
1893f (Text
1894uid 142,0
1895va (VaSet
1896)
1897xt "-8000,17000,-2300,18000"
1898st "address : (3:0)"
1899blo "-8000,17800"
1900tm "WireNameMgr"
1901)
1902)
1903on &25
1904)
1905*58 (Wire
1906uid 145,0
1907shape (OrthoPolyLine
1908uid 146,0
1909va (VaSet
1910vasetType 3
1911lineWidth 2
1912)
1913xt "-9000,19000,-750,19000"
1914pts [
1915"-9000,19000"
1916"-750,19000"
1917]
1918)
1919end &10
1920sat 16
1921eat 32
1922sty 1
1923st 0
1924sf 1
1925si 0
1926tg (WTG
1927uid 149,0
1928ps "ConnStartEndStrategy"
1929stg "STSignalDisplayStrategy"
1930f (Text
1931uid 150,0
1932va (VaSet
1933)
1934xt "-8000,18000,-3200,19000"
1935st "data : (15:0)"
1936blo "-8000,18800"
1937tm "WireNameMgr"
1938)
1939)
1940on &26
1941)
1942*59 (Wire
1943uid 161,0
1944shape (OrthoPolyLine
1945uid 162,0
1946va (VaSet
1947vasetType 3
1948)
1949xt "42000,17000,47000,17000"
1950pts [
1951"42000,17000"
1952"47000,17000"
1953]
1954)
1955start &27
1956sat 1
1957eat 16
1958st 0
1959sf 1
1960si 0
1961tg (WTG
1962uid 167,0
1963ps "ConnStartEndStrategy"
1964stg "STSignalDisplayStrategy"
1965f (Text
1966uid 168,0
1967va (VaSet
1968)
1969xt "44000,16000,46400,17000"
1970st "MOSI"
1971blo "44000,16800"
1972tm "WireNameMgr"
1973)
1974)
1975on &18
1976)
1977*60 (Wire
1978uid 169,0
1979shape (OrthoPolyLine
1980uid 170,0
1981va (VaSet
1982vasetType 3
1983)
1984xt "42000,20000,47000,20000"
1985pts [
1986"42000,20000"
1987"47000,20000"
1988]
1989)
1990start &27
1991sat 1
1992eat 16
1993st 0
1994sf 1
1995si 0
1996tg (WTG
1997uid 175,0
1998ps "ConnStartEndStrategy"
1999stg "STSignalDisplayStrategy"
2000f (Text
2001uid 176,0
2002va (VaSet
2003)
2004xt "44000,19000,45900,20000"
2005st "busy"
2006blo "44000,19800"
2007tm "WireNameMgr"
2008)
2009)
2010on &21
2011)
2012*61 (Wire
2013uid 177,0
2014shape (OrthoPolyLine
2015uid 178,0
2016va (VaSet
2017vasetType 3
2018lineWidth 2
2019)
2020xt "21000,18000,30000,18000"
2021pts [
2022"21000,18000"
2023"30000,18000"
2024]
2025)
2026end &27
2027sat 16
2028eat 2
2029sty 1
2030st 0
2031sf 1
2032si 0
2033tg (WTG
2034uid 183,0
2035ps "ConnStartEndStrategy"
2036stg "STSignalDisplayStrategy"
2037f (Text
2038uid 184,0
2039va (VaSet
2040)
2041xt "22000,17000,27700,18000"
2042st "address : (3:0)"
2043blo "22000,17800"
2044tm "WireNameMgr"
2045)
2046)
2047on &25
2048)
2049*62 (Wire
2050uid 185,0
2051shape (OrthoPolyLine
2052uid 186,0
2053va (VaSet
2054vasetType 3
2055lineWidth 2
2056)
2057xt "21000,17000,30000,17000"
2058pts [
2059"21000,17000"
2060"30000,17000"
2061]
2062)
2063end &27
2064sat 16
2065eat 2
2066sty 1
2067st 0
2068sf 1
2069si 0
2070tg (WTG
2071uid 191,0
2072ps "ConnStartEndStrategy"
2073stg "STSignalDisplayStrategy"
2074f (Text
2075uid 192,0
2076va (VaSet
2077)
2078xt "22000,16000,28200,17000"
2079st "command : (3:0)"
2080blo "22000,16800"
2081tm "WireNameMgr"
2082)
2083)
2084on &24
2085)
2086*63 (Wire
2087uid 193,0
2088shape (OrthoPolyLine
2089uid 194,0
2090va (VaSet
2091vasetType 3
2092)
2093xt "21000,16000,30000,16000"
2094pts [
2095"21000,16000"
2096"30000,16000"
2097]
2098)
2099end &27
2100sat 16
2101eat 2
2102st 0
2103sf 1
2104si 0
2105tg (WTG
2106uid 199,0
2107ps "ConnStartEndStrategy"
2108stg "STSignalDisplayStrategy"
2109f (Text
2110uid 200,0
2111va (VaSet
2112)
2113xt "22000,15000,25100,16000"
2114st "transmit"
2115blo "22000,15800"
2116tm "WireNameMgr"
2117)
2118)
2119on &23
2120)
2121*64 (Wire
2122uid 201,0
2123shape (OrthoPolyLine
2124uid 202,0
2125va (VaSet
2126vasetType 3
2127lineWidth 2
2128)
2129xt "21000,19000,30000,19000"
2130pts [
2131"21000,19000"
2132"30000,19000"
2133]
2134)
2135end &27
2136sat 16
2137eat 2
2138sty 1
2139st 0
2140sf 1
2141si 0
2142tg (WTG
2143uid 207,0
2144ps "ConnStartEndStrategy"
2145stg "STSignalDisplayStrategy"
2146f (Text
2147uid 208,0
2148va (VaSet
2149)
2150xt "22000,18000,26800,19000"
2151st "data : (15:0)"
2152blo "22000,18800"
2153tm "WireNameMgr"
2154)
2155)
2156on &26
2157)
2158*65 (Wire
2159uid 209,0
2160shape (OrthoPolyLine
2161uid 210,0
2162va (VaSet
2163vasetType 3
2164)
2165xt "42000,19000,47000,19000"
2166pts [
2167"42000,19000"
2168"47000,19000"
2169]
2170)
2171start &27
2172sat 1
2173eat 16
2174st 0
2175sf 1
2176si 0
2177tg (WTG
2178uid 215,0
2179ps "ConnStartEndStrategy"
2180stg "STSignalDisplayStrategy"
2181f (Text
2182uid 216,0
2183va (VaSet
2184)
2185xt "44000,18000,46200,19000"
2186st "ready"
2187blo "44000,18800"
2188tm "WireNameMgr"
2189)
2190)
2191on &20
2192)
2193*66 (Wire
2194uid 217,0
2195shape (OrthoPolyLine
2196uid 218,0
2197va (VaSet
2198vasetType 3
2199)
2200xt "42000,15000,47000,15000"
2201pts [
2202"42000,15000"
2203"47000,15000"
2204]
2205)
2206start &27
2207sat 1
2208eat 16
2209st 0
2210sf 1
2211si 0
2212tg (WTG
2213uid 223,0
2214ps "ConnStartEndStrategy"
2215stg "STSignalDisplayStrategy"
2216f (Text
2217uid 224,0
2218va (VaSet
2219)
2220xt "44000,14000,46400,15000"
2221st "SCLK"
2222blo "44000,14800"
2223tm "WireNameMgr"
2224)
2225)
2226on &16
2227)
2228*67 (Wire
2229uid 225,0
2230shape (OrthoPolyLine
2231uid 226,0
2232va (VaSet
2233vasetType 3
2234)
2235xt "21000,15000,30000,15000"
2236pts [
2237"21000,15000"
2238"30000,15000"
2239]
2240)
2241sat 16
2242eat 16
2243st 0
2244sf 1
2245si 0
2246tg (WTG
2247uid 231,0
2248ps "ConnStartEndStrategy"
2249stg "STSignalDisplayStrategy"
2250f (Text
2251uid 232,0
2252va (VaSet
2253)
2254xt "22000,14000,23300,15000"
2255st "clk"
2256blo "22000,14800"
2257tm "WireNameMgr"
2258)
2259)
2260on &22
2261)
2262*68 (Wire
2263uid 233,0
2264shape (OrthoPolyLine
2265uid 234,0
2266va (VaSet
2267vasetType 3
2268)
2269xt "42000,16000,47000,16000"
2270pts [
2271"42000,16000"
2272"47000,16000"
2273]
2274)
2275start &27
2276sat 1
2277eat 16
2278st 0
2279sf 1
2280si 0
2281tg (WTG
2282uid 239,0
2283ps "ConnStartEndStrategy"
2284stg "STSignalDisplayStrategy"
2285f (Text
2286uid 240,0
2287va (VaSet
2288)
2289xt "43000,15000,44500,16000"
2290st "CS"
2291blo "43000,15800"
2292tm "WireNameMgr"
2293)
2294)
2295on &17
2296)
2297*69 (Wire
2298uid 241,0
2299shape (OrthoPolyLine
2300uid 242,0
2301va (VaSet
2302vasetType 3
2303)
2304xt "42000,18000,47000,18000"
2305pts [
2306"42000,18000"
2307"47000,18000"
2308]
2309)
2310start &27
2311sat 1
2312eat 16
2313st 0
2314sf 1
2315si 0
2316tg (WTG
2317uid 247,0
2318ps "ConnStartEndStrategy"
2319stg "STSignalDisplayStrategy"
2320f (Text
2321uid 248,0
2322va (VaSet
2323)
2324xt "44000,17000,46400,18000"
2325st "MISO"
2326blo "44000,17800"
2327tm "WireNameMgr"
2328)
2329)
2330on &19
2331)
2332*70 (Wire
2333uid 468,0
2334shape (OrthoPolyLine
2335uid 469,0
2336va (VaSet
2337vasetType 3
2338)
2339xt "10750,31000,14000,31000"
2340pts [
2341"10750,31000"
2342"14000,31000"
2343]
2344)
2345start &43
2346sat 32
2347eat 16
2348st 0
2349sf 1
2350si 0
2351tg (WTG
2352uid 472,0
2353ps "ConnStartEndStrategy"
2354stg "STSignalDisplayStrategy"
2355f (Text
2356uid 473,0
2357va (VaSet
2358)
2359xt "12000,30000,13300,31000"
2360st "clk"
2361blo "12000,30800"
2362tm "WireNameMgr"
2363)
2364)
2365on &22
2366)
2367]
2368bg "65535,65535,65535"
2369grid (Grid
2370origin "0,0"
2371isVisible 1
2372isActive 1
2373xSpacing 1000
2374xySpacing 1000
2375xShown 1
2376yShown 1
2377color "26368,26368,26368"
2378)
2379packageList *71 (PackageList
2380uid 303,0
2381stg "VerticalLayoutStrategy"
2382textVec [
2383*72 (Text
2384uid 304,0
2385va (VaSet
2386font "arial,8,1"
2387)
2388xt "0,0,5400,1000"
2389st "Package List"
2390blo "0,800"
2391)
2392*73 (MLText
2393uid 305,0
2394va (VaSet
2395)
2396xt "0,1000,12400,5000"
2397st "LIBRARY ieee;
2398USE ieee.std_logic_1164.ALL;
2399USE ieee.std_logic_arith.ALL;
2400USE ieee.std_logic_unsigned.all;"
2401tm "PackageList"
2402)
2403]
2404)
2405compDirBlock (MlTextGroup
2406uid 306,0
2407stg "VerticalLayoutStrategy"
2408textVec [
2409*74 (Text
2410uid 307,0
2411va (VaSet
2412isHidden 1
2413font "Arial,8,1"
2414)
2415xt "20000,0,28100,1000"
2416st "Compiler Directives"
2417blo "20000,800"
2418)
2419*75 (Text
2420uid 308,0
2421va (VaSet
2422isHidden 1
2423font "Arial,8,1"
2424)
2425xt "20000,1000,29600,2000"
2426st "Pre-module directives:"
2427blo "20000,1800"
2428)
2429*76 (MLText
2430uid 309,0
2431va (VaSet
2432isHidden 1
2433)
2434xt "20000,2000,27500,4000"
2435st "`resetall
2436`timescale 1ns/10ps"
2437tm "BdCompilerDirectivesTextMgr"
2438)
2439*77 (Text
2440uid 310,0
2441va (VaSet
2442isHidden 1
2443font "Arial,8,1"
2444)
2445xt "20000,4000,30100,5000"
2446st "Post-module directives:"
2447blo "20000,4800"
2448)
2449*78 (MLText
2450uid 311,0
2451va (VaSet
2452isHidden 1
2453)
2454xt "20000,0,20000,0"
2455tm "BdCompilerDirectivesTextMgr"
2456)
2457*79 (Text
2458uid 312,0
2459va (VaSet
2460isHidden 1
2461font "Arial,8,1"
2462)
2463xt "20000,5000,29900,6000"
2464st "End-module directives:"
2465blo "20000,5800"
2466)
2467*80 (MLText
2468uid 313,0
2469va (VaSet
2470isHidden 1
2471)
2472xt "20000,6000,20000,6000"
2473tm "BdCompilerDirectivesTextMgr"
2474)
2475]
2476associable 1
2477)
2478windowSize "0,0,1281,1002"
2479viewArea "-5624,2500,43182,40450"
2480cachedDiagramExtent "-9400,0,63000,49000"
2481hasePageBreakOrigin 1
2482pageBreakOrigin "-10000,0"
2483lastUid 564,0
2484defaultCommentText (CommentText
2485shape (Rectangle
2486layer 0
2487va (VaSet
2488vasetType 1
2489fg "65280,65280,46080"
2490lineColor "0,0,32768"
2491)
2492xt "0,0,15000,5000"
2493)
2494text (MLText
2495va (VaSet
2496fg "0,0,32768"
2497)
2498xt "200,200,2000,1200"
2499st "
2500Text
2501"
2502tm "CommentText"
2503wrapOption 3
2504visibleHeight 4600
2505visibleWidth 14600
2506)
2507)
2508defaultPanel (Panel
2509shape (RectFrame
2510va (VaSet
2511vasetType 1
2512fg "65535,65535,65535"
2513lineColor "32768,0,0"
2514lineWidth 3
2515)
2516xt "0,0,20000,20000"
2517)
2518title (TextAssociate
2519ps "TopLeftStrategy"
2520text (Text
2521va (VaSet
2522font "Arial,8,1"
2523)
2524xt "1000,1000,3800,2000"
2525st "Panel0"
2526blo "1000,1800"
2527tm "PanelText"
2528)
2529)
2530)
2531defaultBlk (Blk
2532shape (Rectangle
2533va (VaSet
2534vasetType 1
2535fg "39936,56832,65280"
2536lineColor "0,0,32768"
2537lineWidth 2
2538)
2539xt "0,0,8000,10000"
2540)
2541ttg (MlTextGroup
2542ps "CenterOffsetStrategy"
2543stg "VerticalLayoutStrategy"
2544textVec [
2545*81 (Text
2546va (VaSet
2547font "Arial,8,1"
2548)
2549xt "2200,3500,5800,4500"
2550st "<library>"
2551blo "2200,4300"
2552tm "BdLibraryNameMgr"
2553)
2554*82 (Text
2555va (VaSet
2556font "Arial,8,1"
2557)
2558xt "2200,4500,5600,5500"
2559st "<block>"
2560blo "2200,5300"
2561tm "BlkNameMgr"
2562)
2563*83 (Text
2564va (VaSet
2565font "Arial,8,1"
2566)
2567xt "2200,5500,4000,6500"
2568st "U_0"
2569blo "2200,6300"
2570tm "InstanceNameMgr"
2571)
2572]
2573)
2574ga (GenericAssociation
2575ps "EdgeToEdgeStrategy"
2576matrix (Matrix
2577text (MLText
2578va (VaSet
2579font "Courier New,8,0"
2580)
2581xt "2200,13500,2200,13500"
2582)
2583header ""
2584)
2585elements [
2586]
2587)
2588viewicon (ZoomableIcon
2589sl 0
2590va (VaSet
2591vasetType 1
2592fg "49152,49152,49152"
2593)
2594xt "0,0,1500,1500"
2595iconName "UnknownFile.png"
2596iconMaskName "UnknownFile.msk"
2597)
2598viewiconposition 0
2599)
2600defaultMWComponent (MWC
2601shape (Rectangle
2602va (VaSet
2603vasetType 1
2604fg "0,65535,0"
2605lineColor "0,32896,0"
2606lineWidth 2
2607)
2608xt "0,0,8000,10000"
2609)
2610ttg (MlTextGroup
2611ps "CenterOffsetStrategy"
2612stg "VerticalLayoutStrategy"
2613textVec [
2614*84 (Text
2615va (VaSet
2616font "Arial,8,1"
2617)
2618xt "550,3500,3450,4500"
2619st "Library"
2620blo "550,4300"
2621)
2622*85 (Text
2623va (VaSet
2624font "Arial,8,1"
2625)
2626xt "550,4500,7450,5500"
2627st "MWComponent"
2628blo "550,5300"
2629)
2630*86 (Text
2631va (VaSet
2632font "Arial,8,1"
2633)
2634xt "550,5500,2350,6500"
2635st "U_0"
2636blo "550,6300"
2637tm "InstanceNameMgr"
2638)
2639]
2640)
2641ga (GenericAssociation
2642ps "EdgeToEdgeStrategy"
2643matrix (Matrix
2644text (MLText
2645va (VaSet
2646font "Courier New,8,0"
2647)
2648xt "-6450,1500,-6450,1500"
2649)
2650header ""
2651)
2652elements [
2653]
2654)
2655portVis (PortSigDisplay
2656)
2657prms (Property
2658pclass "params"
2659pname "params"
2660ptn "String"
2661)
2662visOptions (mwParamsVisibilityOptions
2663)
2664)
2665defaultSaComponent (SaComponent
2666shape (Rectangle
2667va (VaSet
2668vasetType 1
2669fg "0,65535,0"
2670lineColor "0,32896,0"
2671lineWidth 2
2672)
2673xt "0,0,8000,10000"
2674)
2675ttg (MlTextGroup
2676ps "CenterOffsetStrategy"
2677stg "VerticalLayoutStrategy"
2678textVec [
2679*87 (Text
2680va (VaSet
2681font "Arial,8,1"
2682)
2683xt "900,3500,3800,4500"
2684st "Library"
2685blo "900,4300"
2686tm "BdLibraryNameMgr"
2687)
2688*88 (Text
2689va (VaSet
2690font "Arial,8,1"
2691)
2692xt "900,4500,7100,5500"
2693st "SaComponent"
2694blo "900,5300"
2695tm "CptNameMgr"
2696)
2697*89 (Text
2698va (VaSet
2699font "Arial,8,1"
2700)
2701xt "900,5500,2700,6500"
2702st "U_0"
2703blo "900,6300"
2704tm "InstanceNameMgr"
2705)
2706]
2707)
2708ga (GenericAssociation
2709ps "EdgeToEdgeStrategy"
2710matrix (Matrix
2711text (MLText
2712va (VaSet
2713font "Courier New,8,0"
2714)
2715xt "-6100,1500,-6100,1500"
2716)
2717header ""
2718)
2719elements [
2720]
2721)
2722viewicon (ZoomableIcon
2723sl 0
2724va (VaSet
2725vasetType 1
2726fg "49152,49152,49152"
2727)
2728xt "0,0,1500,1500"
2729iconName "UnknownFile.png"
2730iconMaskName "UnknownFile.msk"
2731)
2732viewiconposition 0
2733portVis (PortSigDisplay
2734)
2735archFileType "UNKNOWN"
2736)
2737defaultVhdlComponent (VhdlComponent
2738shape (Rectangle
2739va (VaSet
2740vasetType 1
2741fg "0,65535,0"
2742lineColor "0,32896,0"
2743lineWidth 2
2744)
2745xt "0,0,8000,10000"
2746)
2747ttg (MlTextGroup
2748ps "CenterOffsetStrategy"
2749stg "VerticalLayoutStrategy"
2750textVec [
2751*90 (Text
2752va (VaSet
2753font "Arial,8,1"
2754)
2755xt "500,3500,3400,4500"
2756st "Library"
2757blo "500,4300"
2758)
2759*91 (Text
2760va (VaSet
2761font "Arial,8,1"
2762)
2763xt "500,4500,7500,5500"
2764st "VhdlComponent"
2765blo "500,5300"
2766)
2767*92 (Text
2768va (VaSet
2769font "Arial,8,1"
2770)
2771xt "500,5500,2300,6500"
2772st "U_0"
2773blo "500,6300"
2774tm "InstanceNameMgr"
2775)
2776]
2777)
2778ga (GenericAssociation
2779ps "EdgeToEdgeStrategy"
2780matrix (Matrix
2781text (MLText
2782va (VaSet
2783font "Courier New,8,0"
2784)
2785xt "-6500,1500,-6500,1500"
2786)
2787header ""
2788)
2789elements [
2790]
2791)
2792portVis (PortSigDisplay
2793)
2794entityPath ""
2795archName ""
2796archPath ""
2797)
2798defaultVerilogComponent (VerilogComponent
2799shape (Rectangle
2800va (VaSet
2801vasetType 1
2802fg "0,65535,0"
2803lineColor "0,32896,0"
2804lineWidth 2
2805)
2806xt "-450,0,8450,10000"
2807)
2808ttg (MlTextGroup
2809ps "CenterOffsetStrategy"
2810stg "VerticalLayoutStrategy"
2811textVec [
2812*93 (Text
2813va (VaSet
2814font "Arial,8,1"
2815)
2816xt "50,3500,2950,4500"
2817st "Library"
2818blo "50,4300"
2819)
2820*94 (Text
2821va (VaSet
2822font "Arial,8,1"
2823)
2824xt "50,4500,7950,5500"
2825st "VerilogComponent"
2826blo "50,5300"
2827)
2828*95 (Text
2829va (VaSet
2830font "Arial,8,1"
2831)
2832xt "50,5500,1850,6500"
2833st "U_0"
2834blo "50,6300"
2835tm "InstanceNameMgr"
2836)
2837]
2838)
2839ga (GenericAssociation
2840ps "EdgeToEdgeStrategy"
2841matrix (Matrix
2842text (MLText
2843va (VaSet
2844font "Courier New,8,0"
2845)
2846xt "-6950,1500,-6950,1500"
2847)
2848header ""
2849)
2850elements [
2851]
2852)
2853entityPath ""
2854)
2855defaultHdlText (HdlText
2856shape (Rectangle
2857va (VaSet
2858vasetType 1
2859fg "65535,65535,37120"
2860lineColor "0,0,32768"
2861lineWidth 2
2862)
2863xt "0,0,8000,10000"
2864)
2865ttg (MlTextGroup
2866ps "CenterOffsetStrategy"
2867stg "VerticalLayoutStrategy"
2868textVec [
2869*96 (Text
2870va (VaSet
2871font "Arial,8,1"
2872)
2873xt "3150,4000,4850,5000"
2874st "eb1"
2875blo "3150,4800"
2876tm "HdlTextNameMgr"
2877)
2878*97 (Text
2879va (VaSet
2880font "Arial,8,1"
2881)
2882xt "3150,5000,3950,6000"
2883st "1"
2884blo "3150,5800"
2885tm "HdlTextNumberMgr"
2886)
2887]
2888)
2889viewicon (ZoomableIcon
2890sl 0
2891va (VaSet
2892vasetType 1
2893fg "49152,49152,49152"
2894)
2895xt "0,0,1500,1500"
2896iconName "UnknownFile.png"
2897iconMaskName "UnknownFile.msk"
2898)
2899viewiconposition 0
2900)
2901defaultEmbeddedText (EmbeddedText
2902commentText (CommentText
2903ps "CenterOffsetStrategy"
2904shape (Rectangle
2905va (VaSet
2906vasetType 1
2907fg "65535,65535,65535"
2908lineColor "0,0,32768"
2909lineWidth 2
2910)
2911xt "0,0,18000,5000"
2912)
2913text (MLText
2914va (VaSet
2915)
2916xt "200,200,2000,1200"
2917st "
2918Text
2919"
2920tm "HdlTextMgr"
2921wrapOption 3
2922visibleHeight 4600
2923visibleWidth 17600
2924)
2925)
2926)
2927defaultGlobalConnector (GlobalConnector
2928shape (Circle
2929va (VaSet
2930vasetType 1
2931fg "65535,65535,0"
2932)
2933xt "-1000,-1000,1000,1000"
2934radius 1000
2935)
2936name (Text
2937va (VaSet
2938font "Arial,8,1"
2939)
2940xt "-500,-500,500,500"
2941st "G"
2942blo "-500,300"
2943)
2944)
2945defaultRipper (Ripper
2946ps "OnConnectorStrategy"
2947shape (Line2D
2948pts [
2949"0,0"
2950"1000,1000"
2951]
2952va (VaSet
2953vasetType 1
2954)
2955xt "0,0,1000,1000"
2956)
2957)
2958defaultBdJunction (BdJunction
2959ps "OnConnectorStrategy"
2960shape (Circle
2961va (VaSet
2962vasetType 1
2963)
2964xt "-400,-400,400,400"
2965radius 400
2966)
2967)
2968defaultPortIoIn (PortIoIn
2969shape (CompositeShape
2970va (VaSet
2971vasetType 1
2972fg "0,0,32768"
2973)
2974optionalChildren [
2975(Pentagon
2976sl 0
2977ro 270
2978xt "-2000,-375,-500,375"
2979)
2980(Line
2981sl 0
2982ro 270
2983xt "-500,0,0,0"
2984pts [
2985"-500,0"
2986"0,0"
2987]
2988)
2989]
2990)
2991stc 0
2992sf 1
2993tg (WTG
2994ps "PortIoTextPlaceStrategy"
2995stg "STSignalDisplayStrategy"
2996f (Text
2997va (VaSet
2998)
2999xt "-1375,-1000,-1375,-1000"
3000ju 2
3001blo "-1375,-1000"
3002tm "WireNameMgr"
3003)
3004)
3005)
3006defaultPortIoOut (PortIoOut
3007shape (CompositeShape
3008va (VaSet
3009vasetType 1
3010fg "0,0,32768"
3011)
3012optionalChildren [
3013(Pentagon
3014sl 0
3015ro 270
3016xt "500,-375,2000,375"
3017)
3018(Line
3019sl 0
3020ro 270
3021xt "0,0,500,0"
3022pts [
3023"0,0"
3024"500,0"
3025]
3026)
3027]
3028)
3029stc 0
3030sf 1
3031tg (WTG
3032ps "PortIoTextPlaceStrategy"
3033stg "STSignalDisplayStrategy"
3034f (Text
3035va (VaSet
3036)
3037xt "625,-1000,625,-1000"
3038blo "625,-1000"
3039tm "WireNameMgr"
3040)
3041)
3042)
3043defaultPortIoInOut (PortIoInOut
3044shape (CompositeShape
3045va (VaSet
3046vasetType 1
3047fg "0,0,32768"
3048)
3049optionalChildren [
3050(Hexagon
3051sl 0
3052xt "500,-375,2000,375"
3053)
3054(Line
3055sl 0
3056xt "0,0,500,0"
3057pts [
3058"0,0"
3059"500,0"
3060]
3061)
3062]
3063)
3064stc 0
3065sf 1
3066tg (WTG
3067ps "PortIoTextPlaceStrategy"
3068stg "STSignalDisplayStrategy"
3069f (Text
3070va (VaSet
3071)
3072xt "0,-375,0,-375"
3073blo "0,-375"
3074tm "WireNameMgr"
3075)
3076)
3077)
3078defaultPortIoBuffer (PortIoBuffer
3079shape (CompositeShape
3080va (VaSet
3081vasetType 1
3082fg "65535,65535,65535"
3083lineColor "0,0,32768"
3084)
3085optionalChildren [
3086(Hexagon
3087sl 0
3088xt "500,-375,2000,375"
3089)
3090(Line
3091sl 0
3092xt "0,0,500,0"
3093pts [
3094"0,0"
3095"500,0"
3096]
3097)
3098]
3099)
3100stc 0
3101sf 1
3102tg (WTG
3103ps "PortIoTextPlaceStrategy"
3104stg "STSignalDisplayStrategy"
3105f (Text
3106va (VaSet
3107)
3108xt "0,-375,0,-375"
3109blo "0,-375"
3110tm "WireNameMgr"
3111)
3112)
3113)
3114defaultSignal (Wire
3115shape (OrthoPolyLine
3116va (VaSet
3117vasetType 3
3118)
3119pts [
3120"0,0"
3121"0,0"
3122]
3123)
3124ss 0
3125es 0
3126sat 32
3127eat 32
3128st 0
3129sf 1
3130si 0
3131tg (WTG
3132ps "ConnStartEndStrategy"
3133stg "STSignalDisplayStrategy"
3134f (Text
3135va (VaSet
3136)
3137xt "0,0,1900,1000"
3138st "sig0"
3139blo "0,800"
3140tm "WireNameMgr"
3141)
3142)
3143)
3144defaultBus (Wire
3145shape (OrthoPolyLine
3146va (VaSet
3147vasetType 3
3148lineWidth 2
3149)
3150pts [
3151"0,0"
3152"0,0"
3153]
3154)
3155ss 0
3156es 0
3157sat 32
3158eat 32
3159sty 1
3160st 0
3161sf 1
3162si 0
3163tg (WTG
3164ps "ConnStartEndStrategy"
3165stg "STSignalDisplayStrategy"
3166f (Text
3167va (VaSet
3168)
3169xt "0,0,2400,1000"
3170st "dbus0"
3171blo "0,800"
3172tm "WireNameMgr"
3173)
3174)
3175)
3176defaultBundle (Bundle
3177shape (OrthoPolyLine
3178va (VaSet
3179vasetType 3
3180lineColor "32768,0,0"
3181lineWidth 2
3182)
3183pts [
3184"0,0"
3185"0,0"
3186]
3187)
3188ss 0
3189es 0
3190sat 32
3191eat 32
3192textGroup (BiTextGroup
3193ps "ConnStartEndStrategy"
3194stg "VerticalLayoutStrategy"
3195first (Text
3196va (VaSet
3197)
3198xt "0,0,3000,1000"
3199st "bundle0"
3200blo "0,800"
3201tm "BundleNameMgr"
3202)
3203second (MLText
3204va (VaSet
3205)
3206xt "0,1000,1000,2000"
3207st "()"
3208tm "BundleContentsMgr"
3209)
3210)
3211bundleNet &0
3212)
3213defaultPortMapFrame (PortMapFrame
3214ps "PortMapFrameStrategy"
3215shape (RectFrame
3216va (VaSet
3217vasetType 1
3218fg "65535,65535,65535"
3219lineColor "0,0,32768"
3220lineWidth 2
3221)
3222xt "0,0,10000,12000"
3223)
3224portMapText (BiTextGroup
3225ps "BottomRightOffsetStrategy"
3226stg "VerticalLayoutStrategy"
3227first (MLText
3228va (VaSet
3229)
3230)
3231second (MLText
3232va (VaSet
3233)
3234tm "PortMapTextMgr"
3235)
3236)
3237)
3238defaultGenFrame (Frame
3239shape (RectFrame
3240va (VaSet
3241vasetType 1
3242fg "65535,65535,65535"
3243lineColor "26368,26368,26368"
3244lineStyle 2
3245lineWidth 3
3246)
3247xt "0,0,20000,20000"
3248)
3249title (TextAssociate
3250ps "TopLeftStrategy"
3251text (MLText
3252va (VaSet
3253)
3254xt "0,-1100,12600,-100"
3255st "g0: FOR i IN 0 TO n GENERATE"
3256tm "FrameTitleTextMgr"
3257)
3258)
3259seqNum (FrameSequenceNumber
3260ps "TopLeftStrategy"
3261shape (Rectangle
3262va (VaSet
3263vasetType 1
3264fg "65535,65535,65535"
3265)
3266xt "50,50,1250,1450"
3267)
3268num (Text
3269va (VaSet
3270)
3271xt "250,250,1050,1250"
3272st "1"
3273blo "250,1050"
3274tm "FrameSeqNumMgr"
3275)
3276)
3277decls (MlTextGroup
3278ps "BottomRightOffsetStrategy"
3279stg "VerticalLayoutStrategy"
3280textVec [
3281*98 (Text
3282va (VaSet
3283font "Arial,8,1"
3284)
3285xt "14100,20000,22000,21000"
3286st "Frame Declarations"
3287blo "14100,20800"
3288)
3289*99 (MLText
3290va (VaSet
3291)
3292xt "14100,21000,14100,21000"
3293tm "BdFrameDeclTextMgr"
3294)
3295]
3296)
3297)
3298defaultBlockFrame (Frame
3299shape (RectFrame
3300va (VaSet
3301vasetType 1
3302fg "65535,65535,65535"
3303lineColor "26368,26368,26368"
3304lineStyle 1
3305lineWidth 3
3306)
3307xt "0,0,20000,20000"
3308)
3309title (TextAssociate
3310ps "TopLeftStrategy"
3311text (MLText
3312va (VaSet
3313)
3314xt "0,-1100,7400,-100"
3315st "b0: BLOCK (guard)"
3316tm "FrameTitleTextMgr"
3317)
3318)
3319seqNum (FrameSequenceNumber
3320ps "TopLeftStrategy"
3321shape (Rectangle
3322va (VaSet
3323vasetType 1
3324fg "65535,65535,65535"
3325)
3326xt "50,50,1250,1450"
3327)
3328num (Text
3329va (VaSet
3330)
3331xt "250,250,1050,1250"
3332st "1"
3333blo "250,1050"
3334tm "FrameSeqNumMgr"
3335)
3336)
3337decls (MlTextGroup
3338ps "BottomRightOffsetStrategy"
3339stg "VerticalLayoutStrategy"
3340textVec [
3341*100 (Text
3342va (VaSet
3343font "Arial,8,1"
3344)
3345xt "14100,20000,22000,21000"
3346st "Frame Declarations"
3347blo "14100,20800"
3348)
3349*101 (MLText
3350va (VaSet
3351)
3352xt "14100,21000,14100,21000"
3353tm "BdFrameDeclTextMgr"
3354)
3355]
3356)
3357style 3
3358)
3359defaultSaCptPort (CptPort
3360ps "OnEdgeStrategy"
3361shape (Triangle
3362ro 90
3363va (VaSet
3364vasetType 1
3365fg "0,65535,0"
3366)
3367xt "0,0,750,750"
3368)
3369tg (CPTG
3370ps "CptPortTextPlaceStrategy"
3371stg "VerticalLayoutStrategy"
3372f (Text
3373va (VaSet
3374)
3375xt "0,750,1800,1750"
3376st "Port"
3377blo "0,1550"
3378)
3379)
3380thePort (LogicalPort
3381decl (Decl
3382n "Port"
3383t ""
3384o 0
3385)
3386)
3387)
3388defaultSaCptPortBuffer (CptPort
3389ps "OnEdgeStrategy"
3390shape (Diamond
3391va (VaSet
3392vasetType 1
3393fg "65535,65535,65535"
3394)
3395xt "0,0,750,750"
3396)
3397tg (CPTG
3398ps "CptPortTextPlaceStrategy"
3399stg "VerticalLayoutStrategy"
3400f (Text
3401va (VaSet
3402)
3403xt "0,750,1800,1750"
3404st "Port"
3405blo "0,1550"
3406)
3407)
3408thePort (LogicalPort
3409m 3
3410decl (Decl
3411n "Port"
3412t ""
3413o 0
3414)
3415)
3416)
3417defaultDeclText (MLText
3418va (VaSet
3419font "Courier New,8,0"
3420)
3421)
3422archDeclarativeBlock (BdArchDeclBlock
3423uid 1,0
3424stg "BdArchDeclBlockLS"
3425declLabel (Text
3426uid 2,0
3427va (VaSet
3428font "Arial,8,1"
3429)
3430xt "20000,0,25400,1000"
3431st "Declarations"
3432blo "20000,800"
3433)
3434portLabel (Text
3435uid 3,0
3436va (VaSet
3437font "Arial,8,1"
3438)
3439xt "20000,1000,22700,2000"
3440st "Ports:"
3441blo "20000,1800"
3442)
3443preUserLabel (Text
3444uid 4,0
3445va (VaSet
3446isHidden 1
3447font "Arial,8,1"
3448)
3449xt "20000,0,23800,1000"
3450st "Pre User:"
3451blo "20000,800"
3452)
3453preUserText (MLText
3454uid 5,0
3455va (VaSet
3456isHidden 1
3457font "Courier New,8,0"
3458)
3459xt "20000,0,20000,0"
3460tm "BdDeclarativeTextMgr"
3461)
3462diagSignalLabel (Text
3463uid 6,0
3464va (VaSet
3465font "Arial,8,1"
3466)
3467xt "20000,2000,27100,3000"
3468st "Diagram Signals:"
3469blo "20000,2800"
3470)
3471postUserLabel (Text
3472uid 7,0
3473va (VaSet
3474isHidden 1
3475font "Arial,8,1"
3476)
3477xt "20000,0,24700,1000"
3478st "Post User:"
3479blo "20000,800"
3480)
3481postUserText (MLText
3482uid 8,0
3483va (VaSet
3484isHidden 1
3485font "Courier New,8,0"
3486)
3487xt "20000,0,20000,0"
3488tm "BdDeclarativeTextMgr"
3489)
3490)
3491commonDM (CommonDM
3492ldm (LogicalDM
3493suid 11,0
3494usingSuid 1
3495emptyRow *102 (LEmptyRow
3496)
3497uid 316,0
3498optionalChildren [
3499*103 (RefLabelRowHdr
3500)
3501*104 (TitleRowHdr
3502)
3503*105 (FilterRowHdr
3504)
3505*106 (RefLabelColHdr
3506tm "RefLabelColHdrMgr"
3507)
3508*107 (RowExpandColHdr
3509tm "RowExpandColHdrMgr"
3510)
3511*108 (GroupColHdr
3512tm "GroupColHdrMgr"
3513)
3514*109 (NameColHdr
3515tm "BlockDiagramNameColHdrMgr"
3516)
3517*110 (ModeColHdr
3518tm "BlockDiagramModeColHdrMgr"
3519)
3520*111 (TypeColHdr
3521tm "BlockDiagramTypeColHdrMgr"
3522)
3523*112 (BoundsColHdr
3524tm "BlockDiagramBoundsColHdrMgr"
3525)
3526*113 (InitColHdr
3527tm "BlockDiagramInitColHdrMgr"
3528)
3529*114 (EolColHdr
3530tm "BlockDiagramEolColHdrMgr"
3531)
3532*115 (LeafLogPort
3533port (LogicalPort
3534lang 10
3535m 4
3536decl (Decl
3537n "SCLK"
3538t "std_logic"
3539o 1
3540suid 1,0
3541)
3542)
3543uid 281,0
3544)
3545*116 (LeafLogPort
3546port (LogicalPort
3547lang 10
3548m 4
3549decl (Decl
3550n "CS"
3551t "std_logic"
3552o 2
3553suid 2,0
3554)
3555)
3556uid 283,0
3557)
3558*117 (LeafLogPort
3559port (LogicalPort
3560lang 10
3561m 4
3562decl (Decl
3563n "MOSI"
3564t "std_logic"
3565o 3
3566suid 3,0
3567)
3568)
3569uid 285,0
3570)
3571*118 (LeafLogPort
3572port (LogicalPort
3573lang 10
3574m 4
3575decl (Decl
3576n "MISO"
3577t "std_logic"
3578o 4
3579suid 4,0
3580)
3581)
3582uid 287,0
3583)
3584*119 (LeafLogPort
3585port (LogicalPort
3586lang 10
3587m 4
3588decl (Decl
3589n "ready"
3590t "std_logic"
3591o 5
3592suid 5,0
3593)
3594)
3595uid 289,0
3596)
3597*120 (LeafLogPort
3598port (LogicalPort
3599lang 10
3600m 4
3601decl (Decl
3602n "busy"
3603t "std_logic"
3604o 6
3605suid 6,0
3606)
3607)
3608uid 291,0
3609)
3610*121 (LeafLogPort
3611port (LogicalPort
3612m 4
3613decl (Decl
3614n "clk"
3615t "std_logic"
3616o 7
3617suid 7,0
3618)
3619)
3620uid 293,0
3621)
3622*122 (LeafLogPort
3623port (LogicalPort
3624m 4
3625decl (Decl
3626n "transmit"
3627t "std_logic"
3628o 8
3629suid 8,0
3630)
3631)
3632uid 295,0
3633)
3634*123 (LeafLogPort
3635port (LogicalPort
3636lang 10
3637m 4
3638decl (Decl
3639n "command"
3640t "std_logic_vector"
3641b "(3 DOWNTO 0)"
3642o 9
3643suid 9,0
3644)
3645)
3646uid 297,0
3647)
3648*124 (LeafLogPort
3649port (LogicalPort
3650lang 10
3651m 4
3652decl (Decl
3653n "address"
3654t "std_logic_vector"
3655b "(3 DOWNTO 0)"
3656o 10
3657suid 10,0
3658)
3659)
3660uid 299,0
3661)
3662*125 (LeafLogPort
3663port (LogicalPort
3664lang 10
3665m 4
3666decl (Decl
3667n "data"
3668t "std_logic_vector"
3669b "(15 DOWNTO 0)"
3670o 11
3671suid 11,0
3672)
3673)
3674uid 301,0
3675)
3676]
3677)
3678pdm (PhysicalDM
3679displayShortBounds 1
3680editShortBounds 1
3681uid 329,0
3682optionalChildren [
3683*126 (Sheet
3684sheetRow (SheetRow
3685headerVa (MVa
3686cellColor "49152,49152,49152"
3687fontColor "0,0,0"
3688font "Tahoma,10,0"
3689)
3690cellVa (MVa
3691cellColor "65535,65535,65535"
3692fontColor "0,0,0"
3693font "Tahoma,10,0"
3694)
3695groupVa (MVa
3696cellColor "39936,56832,65280"
3697fontColor "0,0,0"
3698font "Tahoma,10,0"
3699)
3700emptyMRCItem *127 (MRCItem
3701litem &102
3702pos 11
3703dimension 20
3704)
3705uid 331,0
3706optionalChildren [
3707*128 (MRCItem
3708litem &103
3709pos 0
3710dimension 20
3711uid 332,0
3712)
3713*129 (MRCItem
3714litem &104
3715pos 1
3716dimension 23
3717uid 333,0
3718)
3719*130 (MRCItem
3720litem &105
3721pos 2
3722hidden 1
3723dimension 20
3724uid 334,0
3725)
3726*131 (MRCItem
3727litem &115
3728pos 0
3729dimension 20
3730uid 282,0
3731)
3732*132 (MRCItem
3733litem &116
3734pos 1
3735dimension 20
3736uid 284,0
3737)
3738*133 (MRCItem
3739litem &117
3740pos 2
3741dimension 20
3742uid 286,0
3743)
3744*134 (MRCItem
3745litem &118
3746pos 3
3747dimension 20
3748uid 288,0
3749)
3750*135 (MRCItem
3751litem &119
3752pos 4
3753dimension 20
3754uid 290,0
3755)
3756*136 (MRCItem
3757litem &120
3758pos 5
3759dimension 20
3760uid 292,0
3761)
3762*137 (MRCItem
3763litem &121
3764pos 6
3765dimension 20
3766uid 294,0
3767)
3768*138 (MRCItem
3769litem &122
3770pos 7
3771dimension 20
3772uid 296,0
3773)
3774*139 (MRCItem
3775litem &123
3776pos 8
3777dimension 20
3778uid 298,0
3779)
3780*140 (MRCItem
3781litem &124
3782pos 9
3783dimension 20
3784uid 300,0
3785)
3786*141 (MRCItem
3787litem &125
3788pos 10
3789dimension 20
3790uid 302,0
3791)
3792]
3793)
3794sheetCol (SheetCol
3795propVa (MVa
3796cellColor "0,49152,49152"
3797fontColor "0,0,0"
3798font "Tahoma,10,0"
3799textAngle 90
3800)
3801uid 335,0
3802optionalChildren [
3803*142 (MRCItem
3804litem &106
3805pos 0
3806dimension 20
3807uid 336,0
3808)
3809*143 (MRCItem
3810litem &108
3811pos 1
3812dimension 50
3813uid 337,0
3814)
3815*144 (MRCItem
3816litem &109
3817pos 2
3818dimension 100
3819uid 338,0
3820)
3821*145 (MRCItem
3822litem &110
3823pos 3
3824dimension 50
3825uid 339,0
3826)
3827*146 (MRCItem
3828litem &111
3829pos 4
3830dimension 100
3831uid 340,0
3832)
3833*147 (MRCItem
3834litem &112
3835pos 5
3836dimension 100
3837uid 341,0
3838)
3839*148 (MRCItem
3840litem &113
3841pos 6
3842dimension 50
3843uid 342,0
3844)
3845*149 (MRCItem
3846litem &114
3847pos 7
3848dimension 80
3849uid 343,0
3850)
3851]
3852)
3853fixedCol 4
3854fixedRow 2
3855name "Ports"
3856uid 330,0
3857vaOverrides [
3858]
3859)
3860]
3861)
3862uid 315,0
3863)
3864genericsCommonDM (CommonDM
3865ldm (LogicalDM
3866emptyRow *150 (LEmptyRow
3867)
3868uid 345,0
3869optionalChildren [
3870*151 (RefLabelRowHdr
3871)
3872*152 (TitleRowHdr
3873)
3874*153 (FilterRowHdr
3875)
3876*154 (RefLabelColHdr
3877tm "RefLabelColHdrMgr"
3878)
3879*155 (RowExpandColHdr
3880tm "RowExpandColHdrMgr"
3881)
3882*156 (GroupColHdr
3883tm "GroupColHdrMgr"
3884)
3885*157 (NameColHdr
3886tm "GenericNameColHdrMgr"
3887)
3888*158 (TypeColHdr
3889tm "GenericTypeColHdrMgr"
3890)
3891*159 (InitColHdr
3892tm "GenericValueColHdrMgr"
3893)
3894*160 (PragmaColHdr
3895tm "GenericPragmaColHdrMgr"
3896)
3897*161 (EolColHdr
3898tm "GenericEolColHdrMgr"
3899)
3900]
3901)
3902pdm (PhysicalDM
3903displayShortBounds 1
3904editShortBounds 1
3905uid 357,0
3906optionalChildren [
3907*162 (Sheet
3908sheetRow (SheetRow
3909headerVa (MVa
3910cellColor "49152,49152,49152"
3911fontColor "0,0,0"
3912font "Tahoma,10,0"
3913)
3914cellVa (MVa
3915cellColor "65535,65535,65535"
3916fontColor "0,0,0"
3917font "Tahoma,10,0"
3918)
3919groupVa (MVa
3920cellColor "39936,56832,65280"
3921fontColor "0,0,0"
3922font "Tahoma,10,0"
3923)
3924emptyMRCItem *163 (MRCItem
3925litem &150
3926pos 0
3927dimension 20
3928)
3929uid 359,0
3930optionalChildren [
3931*164 (MRCItem
3932litem &151
3933pos 0
3934dimension 20
3935uid 360,0
3936)
3937*165 (MRCItem
3938litem &152
3939pos 1
3940dimension 23
3941uid 361,0
3942)
3943*166 (MRCItem
3944litem &153
3945pos 2
3946hidden 1
3947dimension 20
3948uid 362,0
3949)
3950]
3951)
3952sheetCol (SheetCol
3953propVa (MVa
3954cellColor "0,49152,49152"
3955fontColor "0,0,0"
3956font "Tahoma,10,0"
3957textAngle 90
3958)
3959uid 363,0
3960optionalChildren [
3961*167 (MRCItem
3962litem &154
3963pos 0
3964dimension 20
3965uid 364,0
3966)
3967*168 (MRCItem
3968litem &156
3969pos 1
3970dimension 50
3971uid 365,0
3972)
3973*169 (MRCItem
3974litem &157
3975pos 2
3976dimension 100
3977uid 366,0
3978)
3979*170 (MRCItem
3980litem &158
3981pos 3
3982dimension 100
3983uid 367,0
3984)
3985*171 (MRCItem
3986litem &159
3987pos 4
3988dimension 50
3989uid 368,0
3990)
3991*172 (MRCItem
3992litem &160
3993pos 5
3994dimension 50
3995uid 369,0
3996)
3997*173 (MRCItem
3998litem &161
3999pos 6
4000dimension 80
4001uid 370,0
4002)
4003]
4004)
4005fixedCol 3
4006fixedRow 2
4007name "Ports"
4008uid 358,0
4009vaOverrides [
4010]
4011)
4012]
4013)
4014uid 344,0
4015type 1
4016)
4017activeModelName "BlockDiag"
4018)
Note: See TracBrowser for help on using the repository browser.