source: firmware/FAD/FACT_FAD_TB_lib/hds/spi_ltc2600_tb/struct.bd@ 12105

Last change on this file since 12105 was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 50.5 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "ieee"
12unitName "std_logic_arith"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "std_logic_unsigned"
18)
19]
20instances [
21(Instance
22name "U_0"
23duLibraryName "FACT_FAD_lib"
24duName "spi_ltc2600"
25elements [
26]
27mwi 0
28uid 53,0
29)
30(Instance
31name "U_1"
32duLibraryName "FACT_FAD_TB_lib"
33duName "spi_ltc2600_tester"
34elements [
35]
36mwi 0
37uid 151,0
38)
39(Instance
40name "U_2"
41duLibraryName "FACT_FAD_TB_lib"
42duName "clock_generator"
43elements [
44(GiElement
45name "clock_period"
46type "time"
47value "20 ns"
48)
49(GiElement
50name "reset_time"
51type "time"
52value "50 ns"
53)
54]
55mwi 0
56uid 448,0
57)
58]
59libraryRefs [
60"ieee"
61]
62)
63version "29.1"
64appVersion "2009.2 (Build 10)"
65noEmbeddedEditors 1
66model (BlockDiag
67VExpander (VariableExpander
68vvMap [
69(vvPair
70variable "HDLDir"
71value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
72)
73(vvPair
74variable "HDSDir"
75value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
76)
77(vvPair
78variable "SideDataDesignDir"
79value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb\\struct.bd.info"
80)
81(vvPair
82variable "SideDataUserDir"
83value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb\\struct.bd.user"
84)
85(vvPair
86variable "SourceDir"
87value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
88)
89(vvPair
90variable "appl"
91value "HDL Designer"
92)
93(vvPair
94variable "arch_name"
95value "struct"
96)
97(vvPair
98variable "config"
99value "%(unit)_%(view)_config"
100)
101(vvPair
102variable "d"
103value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb"
104)
105(vvPair
106variable "d_logical"
107value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb"
108)
109(vvPair
110variable "date"
111value "03.02.2011"
112)
113(vvPair
114variable "day"
115value "Do"
116)
117(vvPair
118variable "day_long"
119value "Donnerstag"
120)
121(vvPair
122variable "dd"
123value "03"
124)
125(vvPair
126variable "entity_name"
127value "spi_ltc2600_tb"
128)
129(vvPair
130variable "ext"
131value "<TBD>"
132)
133(vvPair
134variable "f"
135value "struct.bd"
136)
137(vvPair
138variable "f_logical"
139value "struct.bd"
140)
141(vvPair
142variable "f_noext"
143value "struct"
144)
145(vvPair
146variable "group"
147value "UNKNOWN"
148)
149(vvPair
150variable "host"
151value "E5B-LABOR6"
152)
153(vvPair
154variable "language"
155value "VHDL"
156)
157(vvPair
158variable "library"
159value "FACT_FAD_TB_lib"
160)
161(vvPair
162variable "library_downstream_ISEPARInvoke"
163value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
164)
165(vvPair
166variable "library_downstream_ImpactInvoke"
167value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
168)
169(vvPair
170variable "library_downstream_ModelSimCompiler"
171value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
172)
173(vvPair
174variable "library_downstream_XSTDataPrep"
175value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
176)
177(vvPair
178variable "mm"
179value "02"
180)
181(vvPair
182variable "module_name"
183value "spi_ltc2600_tb"
184)
185(vvPair
186variable "month"
187value "Feb"
188)
189(vvPair
190variable "month_long"
191value "Februar"
192)
193(vvPair
194variable "p"
195value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb\\struct.bd"
196)
197(vvPair
198variable "p_logical"
199value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tb\\struct.bd"
200)
201(vvPair
202variable "package_name"
203value "<Undefined Variable>"
204)
205(vvPair
206variable "project_name"
207value "FACT_FAD"
208)
209(vvPair
210variable "series"
211value "HDL Designer Series"
212)
213(vvPair
214variable "task_DesignCompilerPath"
215value "<TBD>"
216)
217(vvPair
218variable "task_LeonardoPath"
219value "<TBD>"
220)
221(vvPair
222variable "task_ModelSimPath"
223value "C:\\modeltech_6.6a\\win32"
224)
225(vvPair
226variable "task_NC-SimPath"
227value "<TBD>"
228)
229(vvPair
230variable "task_PrecisionRTLPath"
231value "<TBD>"
232)
233(vvPair
234variable "task_QuestaSimPath"
235value "<TBD>"
236)
237(vvPair
238variable "task_VCSPath"
239value "<TBD>"
240)
241(vvPair
242variable "this_ext"
243value "bd"
244)
245(vvPair
246variable "this_file"
247value "struct"
248)
249(vvPair
250variable "this_file_logical"
251value "struct"
252)
253(vvPair
254variable "time"
255value "20:11:18"
256)
257(vvPair
258variable "unit"
259value "spi_ltc2600_tb"
260)
261(vvPair
262variable "user"
263value "dneise"
264)
265(vvPair
266variable "version"
267value "2009.2 (Build 10)"
268)
269(vvPair
270variable "view"
271value "struct"
272)
273(vvPair
274variable "year"
275value "2011"
276)
277(vvPair
278variable "yy"
279value "11"
280)
281]
282)
283LanguageMgr "VhdlLangMgr"
284uid 314,0
285optionalChildren [
286*1 (SaComponent
287uid 53,0
288optionalChildren [
289*2 (CptPort
290uid 9,0
291ps "OnEdgeStrategy"
292shape (Triangle
293uid 10,0
294ro 90
295va (VaSet
296vasetType 1
297fg "0,65535,0"
298)
299xt "-750,14625,0,15375"
300)
301tg (CPTG
302uid 11,0
303ps "CptPortTextPlaceStrategy"
304stg "VerticalLayoutStrategy"
305f (Text
306uid 12,0
307va (VaSet
308)
309xt "1000,14500,2300,15500"
310st "clk"
311blo "1000,15300"
312)
313)
314thePort (LogicalPort
315decl (Decl
316n "clk"
317t "std_logic"
318o 1
319)
320)
321)
322*3 (CptPort
323uid 13,0
324ps "OnEdgeStrategy"
325shape (Triangle
326uid 14,0
327ro 90
328va (VaSet
329vasetType 1
330fg "0,65535,0"
331)
332xt "12000,14625,12750,15375"
333)
334tg (CPTG
335uid 15,0
336ps "CptPortTextPlaceStrategy"
337stg "RightVerticalLayoutStrategy"
338f (Text
339uid 16,0
340va (VaSet
341)
342xt "8600,14500,11000,15500"
343st "SCLK"
344ju 2
345blo "11000,15300"
346)
347)
348thePort (LogicalPort
349m 1
350decl (Decl
351n "SCLK"
352t "std_logic"
353o 2
354i "'0'"
355)
356)
357)
358*4 (CptPort
359uid 17,0
360ps "OnEdgeStrategy"
361shape (Triangle
362uid 18,0
363ro 90
364va (VaSet
365vasetType 1
366fg "0,65535,0"
367)
368xt "12000,15625,12750,16375"
369)
370tg (CPTG
371uid 19,0
372ps "CptPortTextPlaceStrategy"
373stg "RightVerticalLayoutStrategy"
374f (Text
375uid 20,0
376va (VaSet
377)
378xt "9500,15500,11000,16500"
379st "CS"
380ju 2
381blo "11000,16300"
382)
383)
384thePort (LogicalPort
385m 1
386decl (Decl
387n "CS"
388t "std_logic"
389o 3
390i "'1'"
391)
392)
393)
394*5 (CptPort
395uid 21,0
396ps "OnEdgeStrategy"
397shape (Triangle
398uid 22,0
399ro 90
400va (VaSet
401vasetType 1
402fg "0,65535,0"
403)
404xt "12000,16625,12750,17375"
405)
406tg (CPTG
407uid 23,0
408ps "CptPortTextPlaceStrategy"
409stg "RightVerticalLayoutStrategy"
410f (Text
411uid 24,0
412va (VaSet
413)
414xt "8600,16500,11000,17500"
415st "MOSI"
416ju 2
417blo "11000,17300"
418)
419)
420thePort (LogicalPort
421m 1
422decl (Decl
423n "MOSI"
424t "std_logic"
425o 4
426i "'0'"
427)
428)
429)
430*6 (CptPort
431uid 25,0
432ps "OnEdgeStrategy"
433shape (Triangle
434uid 26,0
435ro 90
436va (VaSet
437vasetType 1
438fg "0,65535,0"
439)
440xt "12000,17625,12750,18375"
441)
442tg (CPTG
443uid 27,0
444ps "CptPortTextPlaceStrategy"
445stg "RightVerticalLayoutStrategy"
446f (Text
447uid 28,0
448va (VaSet
449)
450xt "8600,17500,11000,18500"
451st "MISO"
452ju 2
453blo "11000,18300"
454)
455)
456thePort (LogicalPort
457m 1
458decl (Decl
459n "MISO"
460t "std_logic"
461o 5
462i "'Z'"
463)
464)
465)
466*7 (CptPort
467uid 29,0
468ps "OnEdgeStrategy"
469shape (Triangle
470uid 30,0
471ro 90
472va (VaSet
473vasetType 1
474fg "0,65535,0"
475)
476xt "-750,15625,0,16375"
477)
478tg (CPTG
479uid 31,0
480ps "CptPortTextPlaceStrategy"
481stg "VerticalLayoutStrategy"
482f (Text
483uid 32,0
484va (VaSet
485)
486xt "1000,15500,4100,16500"
487st "transmit"
488blo "1000,16300"
489)
490)
491thePort (LogicalPort
492decl (Decl
493n "transmit"
494t "std_logic"
495o 6
496)
497)
498)
499*8 (CptPort
500uid 33,0
501ps "OnEdgeStrategy"
502shape (Triangle
503uid 34,0
504ro 90
505va (VaSet
506vasetType 1
507fg "0,65535,0"
508)
509xt "-750,16625,0,17375"
510)
511tg (CPTG
512uid 35,0
513ps "CptPortTextPlaceStrategy"
514stg "VerticalLayoutStrategy"
515f (Text
516uid 36,0
517va (VaSet
518)
519xt "1000,16500,7200,17500"
520st "command : (3:0)"
521blo "1000,17300"
522)
523)
524thePort (LogicalPort
525decl (Decl
526n "command"
527t "std_logic_vector"
528b "(3 downto 0)"
529o 7
530)
531)
532)
533*9 (CptPort
534uid 37,0
535ps "OnEdgeStrategy"
536shape (Triangle
537uid 38,0
538ro 90
539va (VaSet
540vasetType 1
541fg "0,65535,0"
542)
543xt "-750,17625,0,18375"
544)
545tg (CPTG
546uid 39,0
547ps "CptPortTextPlaceStrategy"
548stg "VerticalLayoutStrategy"
549f (Text
550uid 40,0
551va (VaSet
552)
553xt "1000,17500,6700,18500"
554st "address : (3:0)"
555blo "1000,18300"
556)
557)
558thePort (LogicalPort
559decl (Decl
560n "address"
561t "std_logic_vector"
562b "(3 downto 0)"
563o 8
564)
565)
566)
567*10 (CptPort
568uid 41,0
569ps "OnEdgeStrategy"
570shape (Triangle
571uid 42,0
572ro 90
573va (VaSet
574vasetType 1
575fg "0,65535,0"
576)
577xt "-750,18625,0,19375"
578)
579tg (CPTG
580uid 43,0
581ps "CptPortTextPlaceStrategy"
582stg "VerticalLayoutStrategy"
583f (Text
584uid 44,0
585va (VaSet
586)
587xt "1000,18500,5800,19500"
588st "data : (15:0)"
589blo "1000,19300"
590)
591)
592thePort (LogicalPort
593decl (Decl
594n "data"
595t "std_logic_vector"
596b "(15 downto 0)"
597o 9
598)
599)
600)
601*11 (CptPort
602uid 45,0
603ps "OnEdgeStrategy"
604shape (Triangle
605uid 46,0
606ro 90
607va (VaSet
608vasetType 1
609fg "0,65535,0"
610)
611xt "12000,18625,12750,19375"
612)
613tg (CPTG
614uid 47,0
615ps "CptPortTextPlaceStrategy"
616stg "RightVerticalLayoutStrategy"
617f (Text
618uid 48,0
619va (VaSet
620)
621xt "8800,18500,11000,19500"
622st "ready"
623ju 2
624blo "11000,19300"
625)
626)
627thePort (LogicalPort
628m 1
629decl (Decl
630n "ready"
631t "std_logic"
632o 10
633i "'0'"
634)
635)
636)
637*12 (CptPort
638uid 49,0
639ps "OnEdgeStrategy"
640shape (Triangle
641uid 50,0
642ro 90
643va (VaSet
644vasetType 1
645fg "0,65535,0"
646)
647xt "12000,19625,12750,20375"
648)
649tg (CPTG
650uid 51,0
651ps "CptPortTextPlaceStrategy"
652stg "RightVerticalLayoutStrategy"
653f (Text
654uid 52,0
655va (VaSet
656)
657xt "9100,19500,11000,20500"
658st "busy"
659ju 2
660blo "11000,20300"
661)
662)
663thePort (LogicalPort
664m 1
665decl (Decl
666n "busy"
667t "std_logic"
668o 11
669i "'1'"
670)
671)
672)
673]
674shape (Rectangle
675uid 54,0
676va (VaSet
677vasetType 1
678fg "0,65535,0"
679lineColor "0,32896,0"
680lineWidth 2
681)
682xt "0,14000,12000,21000"
683)
684ttg (MlTextGroup
685uid 55,0
686ps "CenterOffsetStrategy"
687stg "VerticalLayoutStrategy"
688textVec [
689*13 (Text
690uid 56,0
691va (VaSet
692font "Arial,8,1"
693)
694xt "2900,21000,9100,22000"
695st "FACT_FAD_lib"
696blo "2900,21800"
697tm "BdLibraryNameMgr"
698)
699*14 (Text
700uid 57,0
701va (VaSet
702font "Arial,8,1"
703)
704xt "2900,22000,7700,23000"
705st "spi_ltc2600"
706blo "2900,22800"
707tm "CptNameMgr"
708)
709*15 (Text
710uid 58,0
711va (VaSet
712font "Arial,8,1"
713)
714xt "2900,23000,4700,24000"
715st "U_0"
716blo "2900,23800"
717tm "InstanceNameMgr"
718)
719]
720)
721ga (GenericAssociation
722uid 59,0
723ps "EdgeToEdgeStrategy"
724matrix (Matrix
725uid 60,0
726text (MLText
727uid 61,0
728va (VaSet
729font "Courier New,8,0"
730)
731xt "6000,14000,6000,14000"
732)
733header ""
734)
735elements [
736]
737)
738viewicon (ZoomableIcon
739uid 62,0
740sl 0
741va (VaSet
742vasetType 1
743fg "49152,49152,49152"
744)
745xt "250,19250,1750,20750"
746iconName "VhdlFileViewIcon.png"
747iconMaskName "VhdlFileViewIcon.msk"
748ftype 10
749)
750ordering 1
751viewiconposition 0
752portVis (PortSigDisplay
753)
754archType 1
755archFileType "UNKNOWN"
756)
757*16 (Net
758uid 63,0
759lang 10
760decl (Decl
761n "SCLK"
762t "std_logic"
763o 1
764suid 1,0
765)
766declText (MLText
767uid 64,0
768va (VaSet
769font "Courier New,8,0"
770)
771xt "22000,5400,37000,6200"
772st "SIGNAL SCLK : std_logic
773"
774)
775)
776*17 (Net
777uid 71,0
778lang 10
779decl (Decl
780n "CS"
781t "std_logic"
782o 2
783suid 2,0
784)
785declText (MLText
786uid 72,0
787va (VaSet
788font "Courier New,8,0"
789)
790xt "22000,3000,37000,3800"
791st "SIGNAL CS : std_logic
792"
793)
794)
795*18 (Net
796uid 79,0
797lang 10
798decl (Decl
799n "MOSI"
800t "std_logic"
801o 3
802suid 3,0
803)
804declText (MLText
805uid 80,0
806va (VaSet
807font "Courier New,8,0"
808)
809xt "22000,4600,37000,5400"
810st "SIGNAL MOSI : std_logic
811"
812)
813)
814*19 (Net
815uid 87,0
816lang 10
817decl (Decl
818n "MISO"
819t "std_logic"
820o 4
821suid 4,0
822)
823declText (MLText
824uid 88,0
825va (VaSet
826font "Courier New,8,0"
827)
828xt "22000,3800,37000,4600"
829st "SIGNAL MISO : std_logic
830"
831)
832)
833*20 (Net
834uid 95,0
835lang 10
836decl (Decl
837n "ready"
838t "std_logic"
839o 5
840suid 5,0
841)
842declText (MLText
843uid 96,0
844va (VaSet
845font "Courier New,8,0"
846)
847xt "22000,10200,37000,11000"
848st "SIGNAL ready : std_logic
849"
850)
851)
852*21 (Net
853uid 103,0
854lang 10
855decl (Decl
856n "busy"
857t "std_logic"
858o 6
859suid 6,0
860)
861declText (MLText
862uid 104,0
863va (VaSet
864font "Courier New,8,0"
865)
866xt "22000,7000,37000,7800"
867st "SIGNAL busy : std_logic
868"
869)
870)
871*22 (Net
872uid 111,0
873decl (Decl
874n "clk"
875t "std_logic"
876o 7
877suid 7,0
878)
879declText (MLText
880uid 112,0
881va (VaSet
882font "Courier New,8,0"
883)
884xt "22000,7800,37000,8600"
885st "SIGNAL clk : std_logic
886"
887)
888)
889*23 (Net
890uid 119,0
891decl (Decl
892n "transmit"
893t "std_logic"
894o 8
895suid 8,0
896)
897declText (MLText
898uid 120,0
899va (VaSet
900font "Courier New,8,0"
901)
902xt "22000,11000,37000,11800"
903st "SIGNAL transmit : std_logic
904"
905)
906)
907*24 (Net
908uid 127,0
909lang 10
910decl (Decl
911n "command"
912t "std_logic_vector"
913b "(3 DOWNTO 0)"
914o 9
915suid 9,0
916)
917declText (MLText
918uid 128,0
919va (VaSet
920font "Courier New,8,0"
921)
922xt "22000,8600,47000,9400"
923st "SIGNAL command : std_logic_vector(3 DOWNTO 0)
924"
925)
926)
927*25 (Net
928uid 135,0
929lang 10
930decl (Decl
931n "address"
932t "std_logic_vector"
933b "(3 DOWNTO 0)"
934o 10
935suid 10,0
936)
937declText (MLText
938uid 136,0
939va (VaSet
940font "Courier New,8,0"
941)
942xt "22000,6200,47000,7000"
943st "SIGNAL address : std_logic_vector(3 DOWNTO 0)
944"
945)
946)
947*26 (Net
948uid 143,0
949lang 10
950decl (Decl
951n "data"
952t "std_logic_vector"
953b "(15 DOWNTO 0)"
954o 11
955suid 11,0
956)
957declText (MLText
958uid 144,0
959va (VaSet
960font "Courier New,8,0"
961)
962xt "22000,9400,47500,10200"
963st "SIGNAL data : std_logic_vector(15 DOWNTO 0)
964"
965)
966)
967*27 (Blk
968uid 151,0
969shape (Rectangle
970uid 152,0
971va (VaSet
972vasetType 1
973fg "39936,56832,65280"
974lineColor "0,0,32768"
975lineWidth 2
976)
977xt "30000,14000,42000,21000"
978)
979ttg (MlTextGroup
980uid 153,0
981ps "CenterOffsetStrategy"
982stg "VerticalLayoutStrategy"
983textVec [
984*28 (Text
985uid 154,0
986va (VaSet
987font "Arial,8,1"
988)
989xt "32150,16000,39850,17000"
990st "FACT_FAD_TB_lib"
991blo "32150,16800"
992tm "BdLibraryNameMgr"
993)
994*29 (Text
995uid 155,0
996va (VaSet
997font "Arial,8,1"
998)
999xt "32150,17000,39450,18000"
1000st "spi_ltc2600_tester"
1001blo "32150,17800"
1002tm "BlkNameMgr"
1003)
1004*30 (Text
1005uid 156,0
1006va (VaSet
1007font "Arial,8,1"
1008)
1009xt "32150,18000,33950,19000"
1010st "U_1"
1011blo "32150,18800"
1012tm "InstanceNameMgr"
1013)
1014]
1015)
1016ga (GenericAssociation
1017uid 157,0
1018ps "EdgeToEdgeStrategy"
1019matrix (Matrix
1020uid 158,0
1021text (MLText
1022uid 159,0
1023va (VaSet
1024font "Courier New,8,0"
1025)
1026xt "32150,26000,32150,26000"
1027)
1028header ""
1029)
1030elements [
1031]
1032)
1033viewicon (ZoomableIcon
1034uid 160,0
1035sl 0
1036va (VaSet
1037vasetType 1
1038fg "49152,49152,49152"
1039)
1040xt "30250,19250,31750,20750"
1041iconName "VhdlFileViewIcon.png"
1042iconMaskName "VhdlFileViewIcon.msk"
1043ftype 10
1044)
1045ordering 1
1046viewiconposition 0
1047blkPorts [
1048"CS"
1049"MISO"
1050"MOSI"
1051"SCLK"
1052"busy"
1053"ready"
1054"address"
1055"command"
1056"data"
1057"transmit"
1058]
1059)
1060*31 (Grouping
1061uid 249,0
1062optionalChildren [
1063*32 (CommentText
1064uid 251,0
1065shape (Rectangle
1066uid 252,0
1067sl 0
1068va (VaSet
1069vasetType 1
1070fg "65280,65280,46080"
1071)
1072xt "26000,48000,43000,49000"
1073)
1074oxt "18000,70000,35000,71000"
1075text (MLText
1076uid 253,0
1077va (VaSet
1078fg "0,0,32768"
1079bg "0,0,32768"
1080)
1081xt "26200,48000,35800,49000"
1082st "
1083by %user on %dd %month %year
1084"
1085tm "CommentText"
1086wrapOption 3
1087visibleHeight 1000
1088visibleWidth 17000
1089)
1090position 1
1091ignorePrefs 1
1092titleBlock 1
1093)
1094*33 (CommentText
1095uid 254,0
1096shape (Rectangle
1097uid 255,0
1098sl 0
1099va (VaSet
1100vasetType 1
1101fg "65280,65280,46080"
1102)
1103xt "43000,44000,47000,45000"
1104)
1105oxt "35000,66000,39000,67000"
1106text (MLText
1107uid 256,0
1108va (VaSet
1109fg "0,0,32768"
1110bg "0,0,32768"
1111)
1112xt "43200,44000,46200,45000"
1113st "
1114Project:
1115"
1116tm "CommentText"
1117wrapOption 3
1118visibleHeight 1000
1119visibleWidth 4000
1120)
1121position 1
1122ignorePrefs 1
1123titleBlock 1
1124)
1125*34 (CommentText
1126uid 257,0
1127shape (Rectangle
1128uid 258,0
1129sl 0
1130va (VaSet
1131vasetType 1
1132fg "65280,65280,46080"
1133)
1134xt "26000,46000,43000,47000"
1135)
1136oxt "18000,68000,35000,69000"
1137text (MLText
1138uid 259,0
1139va (VaSet
1140fg "0,0,32768"
1141bg "0,0,32768"
1142)
1143xt "26200,46000,36200,47000"
1144st "
1145<enter diagram title here>
1146"
1147tm "CommentText"
1148wrapOption 3
1149visibleHeight 1000
1150visibleWidth 17000
1151)
1152position 1
1153ignorePrefs 1
1154titleBlock 1
1155)
1156*35 (CommentText
1157uid 260,0
1158shape (Rectangle
1159uid 261,0
1160sl 0
1161va (VaSet
1162vasetType 1
1163fg "65280,65280,46080"
1164)
1165xt "22000,46000,26000,47000"
1166)
1167oxt "14000,68000,18000,69000"
1168text (MLText
1169uid 262,0
1170va (VaSet
1171fg "0,0,32768"
1172bg "0,0,32768"
1173)
1174xt "22200,46000,24300,47000"
1175st "
1176Title:
1177"
1178tm "CommentText"
1179wrapOption 3
1180visibleHeight 1000
1181visibleWidth 4000
1182)
1183position 1
1184ignorePrefs 1
1185titleBlock 1
1186)
1187*36 (CommentText
1188uid 263,0
1189shape (Rectangle
1190uid 264,0
1191sl 0
1192va (VaSet
1193vasetType 1
1194fg "65280,65280,46080"
1195)
1196xt "43000,45000,63000,49000"
1197)
1198oxt "35000,67000,55000,71000"
1199text (MLText
1200uid 265,0
1201va (VaSet
1202fg "0,0,32768"
1203bg "0,0,32768"
1204)
1205xt "43200,45200,52400,46200"
1206st "
1207<enter comments here>
1208"
1209tm "CommentText"
1210wrapOption 3
1211visibleHeight 4000
1212visibleWidth 20000
1213)
1214ignorePrefs 1
1215titleBlock 1
1216)
1217*37 (CommentText
1218uid 266,0
1219shape (Rectangle
1220uid 267,0
1221sl 0
1222va (VaSet
1223vasetType 1
1224fg "65280,65280,46080"
1225)
1226xt "47000,44000,63000,45000"
1227)
1228oxt "39000,66000,55000,67000"
1229text (MLText
1230uid 268,0
1231va (VaSet
1232fg "0,0,32768"
1233bg "0,0,32768"
1234)
1235xt "47200,44000,51700,45000"
1236st "
1237%project_name
1238"
1239tm "CommentText"
1240wrapOption 3
1241visibleHeight 1000
1242visibleWidth 16000
1243)
1244position 1
1245ignorePrefs 1
1246titleBlock 1
1247)
1248*38 (CommentText
1249uid 269,0
1250shape (Rectangle
1251uid 270,0
1252sl 0
1253va (VaSet
1254vasetType 1
1255fg "65280,65280,46080"
1256)
1257xt "22000,44000,43000,46000"
1258)
1259oxt "14000,66000,35000,68000"
1260text (MLText
1261uid 271,0
1262va (VaSet
1263fg "32768,0,0"
1264)
1265xt "29150,44500,35850,45500"
1266st "
1267<company name>
1268"
1269ju 0
1270tm "CommentText"
1271wrapOption 3
1272visibleHeight 2000
1273visibleWidth 21000
1274)
1275position 1
1276ignorePrefs 1
1277titleBlock 1
1278)
1279*39 (CommentText
1280uid 272,0
1281shape (Rectangle
1282uid 273,0
1283sl 0
1284va (VaSet
1285vasetType 1
1286fg "65280,65280,46080"
1287)
1288xt "22000,47000,26000,48000"
1289)
1290oxt "14000,69000,18000,70000"
1291text (MLText
1292uid 274,0
1293va (VaSet
1294fg "0,0,32768"
1295bg "0,0,32768"
1296)
1297xt "22200,47000,24300,48000"
1298st "
1299Path:
1300"
1301tm "CommentText"
1302wrapOption 3
1303visibleHeight 1000
1304visibleWidth 4000
1305)
1306position 1
1307ignorePrefs 1
1308titleBlock 1
1309)
1310*40 (CommentText
1311uid 275,0
1312shape (Rectangle
1313uid 276,0
1314sl 0
1315va (VaSet
1316vasetType 1
1317fg "65280,65280,46080"
1318)
1319xt "22000,48000,26000,49000"
1320)
1321oxt "14000,70000,18000,71000"
1322text (MLText
1323uid 277,0
1324va (VaSet
1325fg "0,0,32768"
1326bg "0,0,32768"
1327)
1328xt "22200,48000,24900,49000"
1329st "
1330Edited:
1331"
1332tm "CommentText"
1333wrapOption 3
1334visibleHeight 1000
1335visibleWidth 4000
1336)
1337position 1
1338ignorePrefs 1
1339titleBlock 1
1340)
1341*41 (CommentText
1342uid 278,0
1343shape (Rectangle
1344uid 279,0
1345sl 0
1346va (VaSet
1347vasetType 1
1348fg "65280,65280,46080"
1349)
1350xt "26000,47000,43000,48000"
1351)
1352oxt "18000,69000,35000,70000"
1353text (MLText
1354uid 280,0
1355va (VaSet
1356fg "0,0,32768"
1357bg "0,0,32768"
1358)
1359xt "26200,47000,41200,48000"
1360st "
1361%library/%unit/%view
1362"
1363tm "CommentText"
1364wrapOption 3
1365visibleHeight 1000
1366visibleWidth 17000
1367)
1368position 1
1369ignorePrefs 1
1370titleBlock 1
1371)
1372]
1373shape (GroupingShape
1374uid 250,0
1375va (VaSet
1376vasetType 1
1377fg "65535,65535,65535"
1378lineStyle 2
1379lineWidth 2
1380)
1381xt "22000,44000,63000,49000"
1382)
1383oxt "14000,66000,55000,71000"
1384)
1385*42 (SaComponent
1386uid 448,0
1387optionalChildren [
1388*43 (CptPort
1389uid 437,0
1390ps "OnEdgeStrategy"
1391shape (Triangle
1392uid 438,0
1393ro 90
1394va (VaSet
1395vasetType 1
1396fg "0,65535,0"
1397)
1398xt "10000,30625,10750,31375"
1399)
1400tg (CPTG
1401uid 439,0
1402ps "CptPortTextPlaceStrategy"
1403stg "RightVerticalLayoutStrategy"
1404f (Text
1405uid 440,0
1406va (VaSet
1407)
1408xt "7700,30500,9000,31500"
1409st "clk"
1410ju 2
1411blo "9000,31300"
1412)
1413)
1414thePort (LogicalPort
1415m 1
1416decl (Decl
1417n "clk"
1418t "std_logic"
1419preAdd 0
1420posAdd 0
1421o 1
1422suid 1,0
1423i "'0'"
1424)
1425)
1426)
1427*44 (CptPort
1428uid 441,0
1429ps "OnEdgeStrategy"
1430shape (Triangle
1431uid 442,0
1432ro 90
1433va (VaSet
1434vasetType 1
1435fg "0,65535,0"
1436)
1437xt "10000,31625,10750,32375"
1438)
1439tg (CPTG
1440uid 443,0
1441ps "CptPortTextPlaceStrategy"
1442stg "RightVerticalLayoutStrategy"
1443f (Text
1444uid 444,0
1445va (VaSet
1446)
1447xt "7700,31500,9000,32500"
1448st "rst"
1449ju 2
1450blo "9000,32300"
1451)
1452)
1453thePort (LogicalPort
1454m 1
1455decl (Decl
1456n "rst"
1457t "std_logic"
1458preAdd 0
1459posAdd 0
1460o 2
1461suid 2,0
1462i "'0'"
1463)
1464)
1465)
1466]
1467shape (Rectangle
1468uid 449,0
1469va (VaSet
1470vasetType 1
1471fg "0,49152,49152"
1472lineColor "0,0,50000"
1473lineWidth 2
1474)
1475xt "2000,30000,10000,34000"
1476)
1477oxt "22000,15000,30000,19000"
1478ttg (MlTextGroup
1479uid 450,0
1480ps "CenterOffsetStrategy"
1481stg "VerticalLayoutStrategy"
1482textVec [
1483*45 (Text
1484uid 451,0
1485va (VaSet
1486font "Arial,8,1"
1487)
1488xt "2150,34000,9850,35000"
1489st "FACT_FAD_TB_lib"
1490blo "2150,34800"
1491tm "BdLibraryNameMgr"
1492)
1493*46 (Text
1494uid 452,0
1495va (VaSet
1496font "Arial,8,1"
1497)
1498xt "2150,35000,8850,36000"
1499st "clock_generator"
1500blo "2150,35800"
1501tm "CptNameMgr"
1502)
1503*47 (Text
1504uid 453,0
1505va (VaSet
1506font "Arial,8,1"
1507)
1508xt "2150,36000,3950,37000"
1509st "U_2"
1510blo "2150,36800"
1511tm "InstanceNameMgr"
1512)
1513]
1514)
1515ga (GenericAssociation
1516uid 454,0
1517ps "EdgeToEdgeStrategy"
1518matrix (Matrix
1519uid 455,0
1520text (MLText
1521uid 456,0
1522va (VaSet
1523font "Courier New,8,0"
1524)
1525xt "-1000,28400,17500,30000"
1526st "clock_period = 20 ns ( time )
1527reset_time = 50 ns ( time ) "
1528)
1529header ""
1530)
1531elements [
1532(GiElement
1533name "clock_period"
1534type "time"
1535value "20 ns"
1536)
1537(GiElement
1538name "reset_time"
1539type "time"
1540value "50 ns"
1541)
1542]
1543)
1544viewicon (ZoomableIcon
1545uid 457,0
1546sl 0
1547va (VaSet
1548vasetType 1
1549fg "49152,49152,49152"
1550)
1551xt "2250,32250,3750,33750"
1552iconName "VhdlFileViewIcon.png"
1553iconMaskName "VhdlFileViewIcon.msk"
1554ftype 10
1555)
1556ordering 1
1557viewiconposition 0
1558portVis (PortSigDisplay
1559)
1560archFileType "UNKNOWN"
1561)
1562*48 (Wire
1563uid 65,0
1564shape (OrthoPolyLine
1565uid 66,0
1566va (VaSet
1567vasetType 3
1568)
1569xt "12750,15000,17000,15000"
1570pts [
1571"12750,15000"
1572"17000,15000"
1573]
1574)
1575start &3
1576sat 32
1577eat 16
1578st 0
1579sf 1
1580si 0
1581tg (WTG
1582uid 69,0
1583ps "ConnStartEndStrategy"
1584stg "STSignalDisplayStrategy"
1585f (Text
1586uid 70,0
1587va (VaSet
1588)
1589xt "14000,14000,16400,15000"
1590st "SCLK"
1591blo "14000,14800"
1592tm "WireNameMgr"
1593)
1594)
1595on &16
1596)
1597*49 (Wire
1598uid 73,0
1599shape (OrthoPolyLine
1600uid 74,0
1601va (VaSet
1602vasetType 3
1603)
1604xt "12750,16000,17000,16000"
1605pts [
1606"12750,16000"
1607"17000,16000"
1608]
1609)
1610start &4
1611sat 32
1612eat 16
1613st 0
1614sf 1
1615si 0
1616tg (WTG
1617uid 77,0
1618ps "ConnStartEndStrategy"
1619stg "STSignalDisplayStrategy"
1620f (Text
1621uid 78,0
1622va (VaSet
1623)
1624xt "14000,15000,15500,16000"
1625st "CS"
1626blo "14000,15800"
1627tm "WireNameMgr"
1628)
1629)
1630on &17
1631)
1632*50 (Wire
1633uid 81,0
1634shape (OrthoPolyLine
1635uid 82,0
1636va (VaSet
1637vasetType 3
1638)
1639xt "12750,17000,17000,17000"
1640pts [
1641"12750,17000"
1642"17000,17000"
1643]
1644)
1645start &5
1646sat 32
1647eat 16
1648st 0
1649sf 1
1650si 0
1651tg (WTG
1652uid 85,0
1653ps "ConnStartEndStrategy"
1654stg "STSignalDisplayStrategy"
1655f (Text
1656uid 86,0
1657va (VaSet
1658)
1659xt "14000,16000,16400,17000"
1660st "MOSI"
1661blo "14000,16800"
1662tm "WireNameMgr"
1663)
1664)
1665on &18
1666)
1667*51 (Wire
1668uid 89,0
1669shape (OrthoPolyLine
1670uid 90,0
1671va (VaSet
1672vasetType 3
1673)
1674xt "12750,18000,17000,18000"
1675pts [
1676"12750,18000"
1677"17000,18000"
1678]
1679)
1680start &6
1681sat 32
1682eat 16
1683st 0
1684sf 1
1685si 0
1686tg (WTG
1687uid 93,0
1688ps "ConnStartEndStrategy"
1689stg "STSignalDisplayStrategy"
1690f (Text
1691uid 94,0
1692va (VaSet
1693)
1694xt "14000,17000,16400,18000"
1695st "MISO"
1696blo "14000,17800"
1697tm "WireNameMgr"
1698)
1699)
1700on &19
1701)
1702*52 (Wire
1703uid 97,0
1704shape (OrthoPolyLine
1705uid 98,0
1706va (VaSet
1707vasetType 3
1708)
1709xt "12750,19000,17000,19000"
1710pts [
1711"12750,19000"
1712"17000,19000"
1713]
1714)
1715start &11
1716sat 32
1717eat 16
1718st 0
1719sf 1
1720si 0
1721tg (WTG
1722uid 101,0
1723ps "ConnStartEndStrategy"
1724stg "STSignalDisplayStrategy"
1725f (Text
1726uid 102,0
1727va (VaSet
1728)
1729xt "14000,18000,16200,19000"
1730st "ready"
1731blo "14000,18800"
1732tm "WireNameMgr"
1733)
1734)
1735on &20
1736)
1737*53 (Wire
1738uid 105,0
1739shape (OrthoPolyLine
1740uid 106,0
1741va (VaSet
1742vasetType 3
1743)
1744xt "12750,20000,17000,20000"
1745pts [
1746"12750,20000"
1747"17000,20000"
1748]
1749)
1750start &12
1751sat 32
1752eat 16
1753st 0
1754sf 1
1755si 0
1756tg (WTG
1757uid 109,0
1758ps "ConnStartEndStrategy"
1759stg "STSignalDisplayStrategy"
1760f (Text
1761uid 110,0
1762va (VaSet
1763)
1764xt "14000,19000,15900,20000"
1765st "busy"
1766blo "14000,19800"
1767tm "WireNameMgr"
1768)
1769)
1770on &21
1771)
1772*54 (Wire
1773uid 113,0
1774shape (OrthoPolyLine
1775uid 114,0
1776va (VaSet
1777vasetType 3
1778)
1779xt "-9000,15000,-750,15000"
1780pts [
1781"-9000,15000"
1782"-750,15000"
1783]
1784)
1785end &2
1786sat 16
1787eat 32
1788st 0
1789sf 1
1790si 0
1791tg (WTG
1792uid 117,0
1793ps "ConnStartEndStrategy"
1794stg "STSignalDisplayStrategy"
1795f (Text
1796uid 118,0
1797va (VaSet
1798)
1799xt "-8000,14000,-6700,15000"
1800st "clk"
1801blo "-8000,14800"
1802tm "WireNameMgr"
1803)
1804)
1805on &22
1806)
1807*55 (Wire
1808uid 121,0
1809shape (OrthoPolyLine
1810uid 122,0
1811va (VaSet
1812vasetType 3
1813)
1814xt "-9000,16000,-750,16000"
1815pts [
1816"-9000,16000"
1817"-750,16000"
1818]
1819)
1820end &7
1821sat 16
1822eat 32
1823st 0
1824sf 1
1825si 0
1826tg (WTG
1827uid 125,0
1828ps "ConnStartEndStrategy"
1829stg "STSignalDisplayStrategy"
1830f (Text
1831uid 126,0
1832va (VaSet
1833)
1834xt "-8000,15000,-4900,16000"
1835st "transmit"
1836blo "-8000,15800"
1837tm "WireNameMgr"
1838)
1839)
1840on &23
1841)
1842*56 (Wire
1843uid 129,0
1844shape (OrthoPolyLine
1845uid 130,0
1846va (VaSet
1847vasetType 3
1848lineWidth 2
1849)
1850xt "-9000,17000,-750,17000"
1851pts [
1852"-9000,17000"
1853"-750,17000"
1854]
1855)
1856end &8
1857sat 16
1858eat 32
1859sty 1
1860st 0
1861sf 1
1862si 0
1863tg (WTG
1864uid 133,0
1865ps "ConnStartEndStrategy"
1866stg "STSignalDisplayStrategy"
1867f (Text
1868uid 134,0
1869va (VaSet
1870)
1871xt "-8000,16000,-1800,17000"
1872st "command : (3:0)"
1873blo "-8000,16800"
1874tm "WireNameMgr"
1875)
1876)
1877on &24
1878)
1879*57 (Wire
1880uid 137,0
1881shape (OrthoPolyLine
1882uid 138,0
1883va (VaSet
1884vasetType 3
1885lineWidth 2
1886)
1887xt "-9000,18000,-750,18000"
1888pts [
1889"-9000,18000"
1890"-750,18000"
1891]
1892)
1893end &9
1894sat 16
1895eat 32
1896sty 1
1897st 0
1898sf 1
1899si 0
1900tg (WTG
1901uid 141,0
1902ps "ConnStartEndStrategy"
1903stg "STSignalDisplayStrategy"
1904f (Text
1905uid 142,0
1906va (VaSet
1907)
1908xt "-8000,17000,-2300,18000"
1909st "address : (3:0)"
1910blo "-8000,17800"
1911tm "WireNameMgr"
1912)
1913)
1914on &25
1915)
1916*58 (Wire
1917uid 145,0
1918shape (OrthoPolyLine
1919uid 146,0
1920va (VaSet
1921vasetType 3
1922lineWidth 2
1923)
1924xt "-9000,19000,-750,19000"
1925pts [
1926"-9000,19000"
1927"-750,19000"
1928]
1929)
1930end &10
1931sat 16
1932eat 32
1933sty 1
1934st 0
1935sf 1
1936si 0
1937tg (WTG
1938uid 149,0
1939ps "ConnStartEndStrategy"
1940stg "STSignalDisplayStrategy"
1941f (Text
1942uid 150,0
1943va (VaSet
1944)
1945xt "-8000,18000,-3200,19000"
1946st "data : (15:0)"
1947blo "-8000,18800"
1948tm "WireNameMgr"
1949)
1950)
1951on &26
1952)
1953*59 (Wire
1954uid 161,0
1955shape (OrthoPolyLine
1956uid 162,0
1957va (VaSet
1958vasetType 3
1959)
1960xt "42000,17000,47000,17000"
1961pts [
1962"42000,17000"
1963"47000,17000"
1964]
1965)
1966start &27
1967sat 1
1968eat 16
1969st 0
1970sf 1
1971si 0
1972tg (WTG
1973uid 167,0
1974ps "ConnStartEndStrategy"
1975stg "STSignalDisplayStrategy"
1976f (Text
1977uid 168,0
1978va (VaSet
1979)
1980xt "44000,16000,46400,17000"
1981st "MOSI"
1982blo "44000,16800"
1983tm "WireNameMgr"
1984)
1985)
1986on &18
1987)
1988*60 (Wire
1989uid 169,0
1990shape (OrthoPolyLine
1991uid 170,0
1992va (VaSet
1993vasetType 3
1994)
1995xt "42000,20000,47000,20000"
1996pts [
1997"42000,20000"
1998"47000,20000"
1999]
2000)
2001start &27
2002sat 1
2003eat 16
2004st 0
2005sf 1
2006si 0
2007tg (WTG
2008uid 175,0
2009ps "ConnStartEndStrategy"
2010stg "STSignalDisplayStrategy"
2011f (Text
2012uid 176,0
2013va (VaSet
2014)
2015xt "44000,19000,45900,20000"
2016st "busy"
2017blo "44000,19800"
2018tm "WireNameMgr"
2019)
2020)
2021on &21
2022)
2023*61 (Wire
2024uid 177,0
2025shape (OrthoPolyLine
2026uid 178,0
2027va (VaSet
2028vasetType 3
2029lineWidth 2
2030)
2031xt "21000,18000,30000,18000"
2032pts [
2033"21000,18000"
2034"30000,18000"
2035]
2036)
2037end &27
2038sat 16
2039eat 2
2040sty 1
2041st 0
2042sf 1
2043si 0
2044tg (WTG
2045uid 183,0
2046ps "ConnStartEndStrategy"
2047stg "STSignalDisplayStrategy"
2048f (Text
2049uid 184,0
2050va (VaSet
2051)
2052xt "22000,17000,27700,18000"
2053st "address : (3:0)"
2054blo "22000,17800"
2055tm "WireNameMgr"
2056)
2057)
2058on &25
2059)
2060*62 (Wire
2061uid 185,0
2062shape (OrthoPolyLine
2063uid 186,0
2064va (VaSet
2065vasetType 3
2066lineWidth 2
2067)
2068xt "21000,17000,30000,17000"
2069pts [
2070"21000,17000"
2071"30000,17000"
2072]
2073)
2074end &27
2075sat 16
2076eat 2
2077sty 1
2078st 0
2079sf 1
2080si 0
2081tg (WTG
2082uid 191,0
2083ps "ConnStartEndStrategy"
2084stg "STSignalDisplayStrategy"
2085f (Text
2086uid 192,0
2087va (VaSet
2088)
2089xt "22000,16000,28200,17000"
2090st "command : (3:0)"
2091blo "22000,16800"
2092tm "WireNameMgr"
2093)
2094)
2095on &24
2096)
2097*63 (Wire
2098uid 193,0
2099shape (OrthoPolyLine
2100uid 194,0
2101va (VaSet
2102vasetType 3
2103)
2104xt "21000,16000,30000,16000"
2105pts [
2106"21000,16000"
2107"30000,16000"
2108]
2109)
2110end &27
2111sat 16
2112eat 2
2113st 0
2114sf 1
2115si 0
2116tg (WTG
2117uid 199,0
2118ps "ConnStartEndStrategy"
2119stg "STSignalDisplayStrategy"
2120f (Text
2121uid 200,0
2122va (VaSet
2123)
2124xt "22000,15000,25100,16000"
2125st "transmit"
2126blo "22000,15800"
2127tm "WireNameMgr"
2128)
2129)
2130on &23
2131)
2132*64 (Wire
2133uid 201,0
2134shape (OrthoPolyLine
2135uid 202,0
2136va (VaSet
2137vasetType 3
2138lineWidth 2
2139)
2140xt "21000,19000,30000,19000"
2141pts [
2142"21000,19000"
2143"30000,19000"
2144]
2145)
2146end &27
2147sat 16
2148eat 2
2149sty 1
2150st 0
2151sf 1
2152si 0
2153tg (WTG
2154uid 207,0
2155ps "ConnStartEndStrategy"
2156stg "STSignalDisplayStrategy"
2157f (Text
2158uid 208,0
2159va (VaSet
2160)
2161xt "22000,18000,26800,19000"
2162st "data : (15:0)"
2163blo "22000,18800"
2164tm "WireNameMgr"
2165)
2166)
2167on &26
2168)
2169*65 (Wire
2170uid 209,0
2171shape (OrthoPolyLine
2172uid 210,0
2173va (VaSet
2174vasetType 3
2175)
2176xt "42000,19000,47000,19000"
2177pts [
2178"42000,19000"
2179"47000,19000"
2180]
2181)
2182start &27
2183sat 1
2184eat 16
2185st 0
2186sf 1
2187si 0
2188tg (WTG
2189uid 215,0
2190ps "ConnStartEndStrategy"
2191stg "STSignalDisplayStrategy"
2192f (Text
2193uid 216,0
2194va (VaSet
2195)
2196xt "44000,18000,46200,19000"
2197st "ready"
2198blo "44000,18800"
2199tm "WireNameMgr"
2200)
2201)
2202on &20
2203)
2204*66 (Wire
2205uid 217,0
2206shape (OrthoPolyLine
2207uid 218,0
2208va (VaSet
2209vasetType 3
2210)
2211xt "42000,15000,47000,15000"
2212pts [
2213"42000,15000"
2214"47000,15000"
2215]
2216)
2217start &27
2218sat 1
2219eat 16
2220st 0
2221sf 1
2222si 0
2223tg (WTG
2224uid 223,0
2225ps "ConnStartEndStrategy"
2226stg "STSignalDisplayStrategy"
2227f (Text
2228uid 224,0
2229va (VaSet
2230)
2231xt "44000,14000,46400,15000"
2232st "SCLK"
2233blo "44000,14800"
2234tm "WireNameMgr"
2235)
2236)
2237on &16
2238)
2239*67 (Wire
2240uid 233,0
2241shape (OrthoPolyLine
2242uid 234,0
2243va (VaSet
2244vasetType 3
2245)
2246xt "42000,16000,47000,16000"
2247pts [
2248"42000,16000"
2249"47000,16000"
2250]
2251)
2252start &27
2253sat 1
2254eat 16
2255st 0
2256sf 1
2257si 0
2258tg (WTG
2259uid 239,0
2260ps "ConnStartEndStrategy"
2261stg "STSignalDisplayStrategy"
2262f (Text
2263uid 240,0
2264va (VaSet
2265)
2266xt "43000,15000,44500,16000"
2267st "CS"
2268blo "43000,15800"
2269tm "WireNameMgr"
2270)
2271)
2272on &17
2273)
2274*68 (Wire
2275uid 241,0
2276shape (OrthoPolyLine
2277uid 242,0
2278va (VaSet
2279vasetType 3
2280)
2281xt "42000,18000,47000,18000"
2282pts [
2283"42000,18000"
2284"47000,18000"
2285]
2286)
2287start &27
2288sat 1
2289eat 16
2290st 0
2291sf 1
2292si 0
2293tg (WTG
2294uid 247,0
2295ps "ConnStartEndStrategy"
2296stg "STSignalDisplayStrategy"
2297f (Text
2298uid 248,0
2299va (VaSet
2300)
2301xt "44000,17000,46400,18000"
2302st "MISO"
2303blo "44000,17800"
2304tm "WireNameMgr"
2305)
2306)
2307on &19
2308)
2309*69 (Wire
2310uid 468,0
2311shape (OrthoPolyLine
2312uid 469,0
2313va (VaSet
2314vasetType 3
2315)
2316xt "10750,31000,14000,31000"
2317pts [
2318"10750,31000"
2319"14000,31000"
2320]
2321)
2322start &43
2323sat 32
2324eat 16
2325st 0
2326sf 1
2327si 0
2328tg (WTG
2329uid 472,0
2330ps "ConnStartEndStrategy"
2331stg "STSignalDisplayStrategy"
2332f (Text
2333uid 473,0
2334va (VaSet
2335)
2336xt "12000,30000,13300,31000"
2337st "clk"
2338blo "12000,30800"
2339tm "WireNameMgr"
2340)
2341)
2342on &22
2343)
2344]
2345bg "65535,65535,65535"
2346grid (Grid
2347origin "0,0"
2348isVisible 1
2349isActive 1
2350xSpacing 1000
2351xySpacing 1000
2352xShown 1
2353yShown 1
2354color "26368,26368,26368"
2355)
2356packageList *70 (PackageList
2357uid 303,0
2358stg "VerticalLayoutStrategy"
2359textVec [
2360*71 (Text
2361uid 304,0
2362va (VaSet
2363font "arial,8,1"
2364)
2365xt "0,0,5400,1000"
2366st "Package List"
2367blo "0,800"
2368)
2369*72 (MLText
2370uid 305,0
2371va (VaSet
2372)
2373xt "0,1000,12400,5000"
2374st "LIBRARY ieee;
2375USE ieee.std_logic_1164.ALL;
2376USE ieee.std_logic_arith.ALL;
2377USE ieee.std_logic_unsigned.all;"
2378tm "PackageList"
2379)
2380]
2381)
2382compDirBlock (MlTextGroup
2383uid 306,0
2384stg "VerticalLayoutStrategy"
2385textVec [
2386*73 (Text
2387uid 307,0
2388va (VaSet
2389isHidden 1
2390font "Arial,8,1"
2391)
2392xt "20000,0,28100,1000"
2393st "Compiler Directives"
2394blo "20000,800"
2395)
2396*74 (Text
2397uid 308,0
2398va (VaSet
2399isHidden 1
2400font "Arial,8,1"
2401)
2402xt "20000,1000,29600,2000"
2403st "Pre-module directives:"
2404blo "20000,1800"
2405)
2406*75 (MLText
2407uid 309,0
2408va (VaSet
2409isHidden 1
2410)
2411xt "20000,2000,27500,4000"
2412st "`resetall
2413`timescale 1ns/10ps"
2414tm "BdCompilerDirectivesTextMgr"
2415)
2416*76 (Text
2417uid 310,0
2418va (VaSet
2419isHidden 1
2420font "Arial,8,1"
2421)
2422xt "20000,4000,30100,5000"
2423st "Post-module directives:"
2424blo "20000,4800"
2425)
2426*77 (MLText
2427uid 311,0
2428va (VaSet
2429isHidden 1
2430)
2431xt "20000,0,20000,0"
2432tm "BdCompilerDirectivesTextMgr"
2433)
2434*78 (Text
2435uid 312,0
2436va (VaSet
2437isHidden 1
2438font "Arial,8,1"
2439)
2440xt "20000,5000,29900,6000"
2441st "End-module directives:"
2442blo "20000,5800"
2443)
2444*79 (MLText
2445uid 313,0
2446va (VaSet
2447isHidden 1
2448)
2449xt "20000,6000,20000,6000"
2450tm "BdCompilerDirectivesTextMgr"
2451)
2452]
2453associable 1
2454)
2455windowSize "0,0,1281,1002"
2456viewArea "-5624,2500,43182,40450"
2457cachedDiagramExtent "-9400,0,63000,49000"
2458hasePageBreakOrigin 1
2459pageBreakOrigin "-10000,0"
2460lastUid 564,0
2461defaultCommentText (CommentText
2462shape (Rectangle
2463layer 0
2464va (VaSet
2465vasetType 1
2466fg "65280,65280,46080"
2467lineColor "0,0,32768"
2468)
2469xt "0,0,15000,5000"
2470)
2471text (MLText
2472va (VaSet
2473fg "0,0,32768"
2474)
2475xt "200,200,2000,1200"
2476st "
2477Text
2478"
2479tm "CommentText"
2480wrapOption 3
2481visibleHeight 4600
2482visibleWidth 14600
2483)
2484)
2485defaultPanel (Panel
2486shape (RectFrame
2487va (VaSet
2488vasetType 1
2489fg "65535,65535,65535"
2490lineColor "32768,0,0"
2491lineWidth 3
2492)
2493xt "0,0,20000,20000"
2494)
2495title (TextAssociate
2496ps "TopLeftStrategy"
2497text (Text
2498va (VaSet
2499font "Arial,8,1"
2500)
2501xt "1000,1000,3800,2000"
2502st "Panel0"
2503blo "1000,1800"
2504tm "PanelText"
2505)
2506)
2507)
2508defaultBlk (Blk
2509shape (Rectangle
2510va (VaSet
2511vasetType 1
2512fg "39936,56832,65280"
2513lineColor "0,0,32768"
2514lineWidth 2
2515)
2516xt "0,0,8000,10000"
2517)
2518ttg (MlTextGroup
2519ps "CenterOffsetStrategy"
2520stg "VerticalLayoutStrategy"
2521textVec [
2522*80 (Text
2523va (VaSet
2524font "Arial,8,1"
2525)
2526xt "2200,3500,5800,4500"
2527st "<library>"
2528blo "2200,4300"
2529tm "BdLibraryNameMgr"
2530)
2531*81 (Text
2532va (VaSet
2533font "Arial,8,1"
2534)
2535xt "2200,4500,5600,5500"
2536st "<block>"
2537blo "2200,5300"
2538tm "BlkNameMgr"
2539)
2540*82 (Text
2541va (VaSet
2542font "Arial,8,1"
2543)
2544xt "2200,5500,4000,6500"
2545st "U_0"
2546blo "2200,6300"
2547tm "InstanceNameMgr"
2548)
2549]
2550)
2551ga (GenericAssociation
2552ps "EdgeToEdgeStrategy"
2553matrix (Matrix
2554text (MLText
2555va (VaSet
2556font "Courier New,8,0"
2557)
2558xt "2200,13500,2200,13500"
2559)
2560header ""
2561)
2562elements [
2563]
2564)
2565viewicon (ZoomableIcon
2566sl 0
2567va (VaSet
2568vasetType 1
2569fg "49152,49152,49152"
2570)
2571xt "0,0,1500,1500"
2572iconName "UnknownFile.png"
2573iconMaskName "UnknownFile.msk"
2574)
2575viewiconposition 0
2576)
2577defaultMWComponent (MWC
2578shape (Rectangle
2579va (VaSet
2580vasetType 1
2581fg "0,65535,0"
2582lineColor "0,32896,0"
2583lineWidth 2
2584)
2585xt "0,0,8000,10000"
2586)
2587ttg (MlTextGroup
2588ps "CenterOffsetStrategy"
2589stg "VerticalLayoutStrategy"
2590textVec [
2591*83 (Text
2592va (VaSet
2593font "Arial,8,1"
2594)
2595xt "550,3500,3450,4500"
2596st "Library"
2597blo "550,4300"
2598)
2599*84 (Text
2600va (VaSet
2601font "Arial,8,1"
2602)
2603xt "550,4500,7450,5500"
2604st "MWComponent"
2605blo "550,5300"
2606)
2607*85 (Text
2608va (VaSet
2609font "Arial,8,1"
2610)
2611xt "550,5500,2350,6500"
2612st "U_0"
2613blo "550,6300"
2614tm "InstanceNameMgr"
2615)
2616]
2617)
2618ga (GenericAssociation
2619ps "EdgeToEdgeStrategy"
2620matrix (Matrix
2621text (MLText
2622va (VaSet
2623font "Courier New,8,0"
2624)
2625xt "-6450,1500,-6450,1500"
2626)
2627header ""
2628)
2629elements [
2630]
2631)
2632portVis (PortSigDisplay
2633)
2634prms (Property
2635pclass "params"
2636pname "params"
2637ptn "String"
2638)
2639visOptions (mwParamsVisibilityOptions
2640)
2641)
2642defaultSaComponent (SaComponent
2643shape (Rectangle
2644va (VaSet
2645vasetType 1
2646fg "0,65535,0"
2647lineColor "0,32896,0"
2648lineWidth 2
2649)
2650xt "0,0,8000,10000"
2651)
2652ttg (MlTextGroup
2653ps "CenterOffsetStrategy"
2654stg "VerticalLayoutStrategy"
2655textVec [
2656*86 (Text
2657va (VaSet
2658font "Arial,8,1"
2659)
2660xt "900,3500,3800,4500"
2661st "Library"
2662blo "900,4300"
2663tm "BdLibraryNameMgr"
2664)
2665*87 (Text
2666va (VaSet
2667font "Arial,8,1"
2668)
2669xt "900,4500,7100,5500"
2670st "SaComponent"
2671blo "900,5300"
2672tm "CptNameMgr"
2673)
2674*88 (Text
2675va (VaSet
2676font "Arial,8,1"
2677)
2678xt "900,5500,2700,6500"
2679st "U_0"
2680blo "900,6300"
2681tm "InstanceNameMgr"
2682)
2683]
2684)
2685ga (GenericAssociation
2686ps "EdgeToEdgeStrategy"
2687matrix (Matrix
2688text (MLText
2689va (VaSet
2690font "Courier New,8,0"
2691)
2692xt "-6100,1500,-6100,1500"
2693)
2694header ""
2695)
2696elements [
2697]
2698)
2699viewicon (ZoomableIcon
2700sl 0
2701va (VaSet
2702vasetType 1
2703fg "49152,49152,49152"
2704)
2705xt "0,0,1500,1500"
2706iconName "UnknownFile.png"
2707iconMaskName "UnknownFile.msk"
2708)
2709viewiconposition 0
2710portVis (PortSigDisplay
2711)
2712archFileType "UNKNOWN"
2713)
2714defaultVhdlComponent (VhdlComponent
2715shape (Rectangle
2716va (VaSet
2717vasetType 1
2718fg "0,65535,0"
2719lineColor "0,32896,0"
2720lineWidth 2
2721)
2722xt "0,0,8000,10000"
2723)
2724ttg (MlTextGroup
2725ps "CenterOffsetStrategy"
2726stg "VerticalLayoutStrategy"
2727textVec [
2728*89 (Text
2729va (VaSet
2730font "Arial,8,1"
2731)
2732xt "500,3500,3400,4500"
2733st "Library"
2734blo "500,4300"
2735)
2736*90 (Text
2737va (VaSet
2738font "Arial,8,1"
2739)
2740xt "500,4500,7500,5500"
2741st "VhdlComponent"
2742blo "500,5300"
2743)
2744*91 (Text
2745va (VaSet
2746font "Arial,8,1"
2747)
2748xt "500,5500,2300,6500"
2749st "U_0"
2750blo "500,6300"
2751tm "InstanceNameMgr"
2752)
2753]
2754)
2755ga (GenericAssociation
2756ps "EdgeToEdgeStrategy"
2757matrix (Matrix
2758text (MLText
2759va (VaSet
2760font "Courier New,8,0"
2761)
2762xt "-6500,1500,-6500,1500"
2763)
2764header ""
2765)
2766elements [
2767]
2768)
2769portVis (PortSigDisplay
2770)
2771entityPath ""
2772archName ""
2773archPath ""
2774)
2775defaultVerilogComponent (VerilogComponent
2776shape (Rectangle
2777va (VaSet
2778vasetType 1
2779fg "0,65535,0"
2780lineColor "0,32896,0"
2781lineWidth 2
2782)
2783xt "-450,0,8450,10000"
2784)
2785ttg (MlTextGroup
2786ps "CenterOffsetStrategy"
2787stg "VerticalLayoutStrategy"
2788textVec [
2789*92 (Text
2790va (VaSet
2791font "Arial,8,1"
2792)
2793xt "50,3500,2950,4500"
2794st "Library"
2795blo "50,4300"
2796)
2797*93 (Text
2798va (VaSet
2799font "Arial,8,1"
2800)
2801xt "50,4500,7950,5500"
2802st "VerilogComponent"
2803blo "50,5300"
2804)
2805*94 (Text
2806va (VaSet
2807font "Arial,8,1"
2808)
2809xt "50,5500,1850,6500"
2810st "U_0"
2811blo "50,6300"
2812tm "InstanceNameMgr"
2813)
2814]
2815)
2816ga (GenericAssociation
2817ps "EdgeToEdgeStrategy"
2818matrix (Matrix
2819text (MLText
2820va (VaSet
2821font "Courier New,8,0"
2822)
2823xt "-6950,1500,-6950,1500"
2824)
2825header ""
2826)
2827elements [
2828]
2829)
2830entityPath ""
2831)
2832defaultHdlText (HdlText
2833shape (Rectangle
2834va (VaSet
2835vasetType 1
2836fg "65535,65535,37120"
2837lineColor "0,0,32768"
2838lineWidth 2
2839)
2840xt "0,0,8000,10000"
2841)
2842ttg (MlTextGroup
2843ps "CenterOffsetStrategy"
2844stg "VerticalLayoutStrategy"
2845textVec [
2846*95 (Text
2847va (VaSet
2848font "Arial,8,1"
2849)
2850xt "3150,4000,4850,5000"
2851st "eb1"
2852blo "3150,4800"
2853tm "HdlTextNameMgr"
2854)
2855*96 (Text
2856va (VaSet
2857font "Arial,8,1"
2858)
2859xt "3150,5000,3950,6000"
2860st "1"
2861blo "3150,5800"
2862tm "HdlTextNumberMgr"
2863)
2864]
2865)
2866viewicon (ZoomableIcon
2867sl 0
2868va (VaSet
2869vasetType 1
2870fg "49152,49152,49152"
2871)
2872xt "0,0,1500,1500"
2873iconName "UnknownFile.png"
2874iconMaskName "UnknownFile.msk"
2875)
2876viewiconposition 0
2877)
2878defaultEmbeddedText (EmbeddedText
2879commentText (CommentText
2880ps "CenterOffsetStrategy"
2881shape (Rectangle
2882va (VaSet
2883vasetType 1
2884fg "65535,65535,65535"
2885lineColor "0,0,32768"
2886lineWidth 2
2887)
2888xt "0,0,18000,5000"
2889)
2890text (MLText
2891va (VaSet
2892)
2893xt "200,200,2000,1200"
2894st "
2895Text
2896"
2897tm "HdlTextMgr"
2898wrapOption 3
2899visibleHeight 4600
2900visibleWidth 17600
2901)
2902)
2903)
2904defaultGlobalConnector (GlobalConnector
2905shape (Circle
2906va (VaSet
2907vasetType 1
2908fg "65535,65535,0"
2909)
2910xt "-1000,-1000,1000,1000"
2911radius 1000
2912)
2913name (Text
2914va (VaSet
2915font "Arial,8,1"
2916)
2917xt "-500,-500,500,500"
2918st "G"
2919blo "-500,300"
2920)
2921)
2922defaultRipper (Ripper
2923ps "OnConnectorStrategy"
2924shape (Line2D
2925pts [
2926"0,0"
2927"1000,1000"
2928]
2929va (VaSet
2930vasetType 1
2931)
2932xt "0,0,1000,1000"
2933)
2934)
2935defaultBdJunction (BdJunction
2936ps "OnConnectorStrategy"
2937shape (Circle
2938va (VaSet
2939vasetType 1
2940)
2941xt "-400,-400,400,400"
2942radius 400
2943)
2944)
2945defaultPortIoIn (PortIoIn
2946shape (CompositeShape
2947va (VaSet
2948vasetType 1
2949fg "0,0,32768"
2950)
2951optionalChildren [
2952(Pentagon
2953sl 0
2954ro 270
2955xt "-2000,-375,-500,375"
2956)
2957(Line
2958sl 0
2959ro 270
2960xt "-500,0,0,0"
2961pts [
2962"-500,0"
2963"0,0"
2964]
2965)
2966]
2967)
2968stc 0
2969sf 1
2970tg (WTG
2971ps "PortIoTextPlaceStrategy"
2972stg "STSignalDisplayStrategy"
2973f (Text
2974va (VaSet
2975)
2976xt "-1375,-1000,-1375,-1000"
2977ju 2
2978blo "-1375,-1000"
2979tm "WireNameMgr"
2980)
2981)
2982)
2983defaultPortIoOut (PortIoOut
2984shape (CompositeShape
2985va (VaSet
2986vasetType 1
2987fg "0,0,32768"
2988)
2989optionalChildren [
2990(Pentagon
2991sl 0
2992ro 270
2993xt "500,-375,2000,375"
2994)
2995(Line
2996sl 0
2997ro 270
2998xt "0,0,500,0"
2999pts [
3000"0,0"
3001"500,0"
3002]
3003)
3004]
3005)
3006stc 0
3007sf 1
3008tg (WTG
3009ps "PortIoTextPlaceStrategy"
3010stg "STSignalDisplayStrategy"
3011f (Text
3012va (VaSet
3013)
3014xt "625,-1000,625,-1000"
3015blo "625,-1000"
3016tm "WireNameMgr"
3017)
3018)
3019)
3020defaultPortIoInOut (PortIoInOut
3021shape (CompositeShape
3022va (VaSet
3023vasetType 1
3024fg "0,0,32768"
3025)
3026optionalChildren [
3027(Hexagon
3028sl 0
3029xt "500,-375,2000,375"
3030)
3031(Line
3032sl 0
3033xt "0,0,500,0"
3034pts [
3035"0,0"
3036"500,0"
3037]
3038)
3039]
3040)
3041stc 0
3042sf 1
3043tg (WTG
3044ps "PortIoTextPlaceStrategy"
3045stg "STSignalDisplayStrategy"
3046f (Text
3047va (VaSet
3048)
3049xt "0,-375,0,-375"
3050blo "0,-375"
3051tm "WireNameMgr"
3052)
3053)
3054)
3055defaultPortIoBuffer (PortIoBuffer
3056shape (CompositeShape
3057va (VaSet
3058vasetType 1
3059fg "65535,65535,65535"
3060lineColor "0,0,32768"
3061)
3062optionalChildren [
3063(Hexagon
3064sl 0
3065xt "500,-375,2000,375"
3066)
3067(Line
3068sl 0
3069xt "0,0,500,0"
3070pts [
3071"0,0"
3072"500,0"
3073]
3074)
3075]
3076)
3077stc 0
3078sf 1
3079tg (WTG
3080ps "PortIoTextPlaceStrategy"
3081stg "STSignalDisplayStrategy"
3082f (Text
3083va (VaSet
3084)
3085xt "0,-375,0,-375"
3086blo "0,-375"
3087tm "WireNameMgr"
3088)
3089)
3090)
3091defaultSignal (Wire
3092shape (OrthoPolyLine
3093va (VaSet
3094vasetType 3
3095)
3096pts [
3097"0,0"
3098"0,0"
3099]
3100)
3101ss 0
3102es 0
3103sat 32
3104eat 32
3105st 0
3106sf 1
3107si 0
3108tg (WTG
3109ps "ConnStartEndStrategy"
3110stg "STSignalDisplayStrategy"
3111f (Text
3112va (VaSet
3113)
3114xt "0,0,1900,1000"
3115st "sig0"
3116blo "0,800"
3117tm "WireNameMgr"
3118)
3119)
3120)
3121defaultBus (Wire
3122shape (OrthoPolyLine
3123va (VaSet
3124vasetType 3
3125lineWidth 2
3126)
3127pts [
3128"0,0"
3129"0,0"
3130]
3131)
3132ss 0
3133es 0
3134sat 32
3135eat 32
3136sty 1
3137st 0
3138sf 1
3139si 0
3140tg (WTG
3141ps "ConnStartEndStrategy"
3142stg "STSignalDisplayStrategy"
3143f (Text
3144va (VaSet
3145)
3146xt "0,0,2400,1000"
3147st "dbus0"
3148blo "0,800"
3149tm "WireNameMgr"
3150)
3151)
3152)
3153defaultBundle (Bundle
3154shape (OrthoPolyLine
3155va (VaSet
3156vasetType 3
3157lineColor "32768,0,0"
3158lineWidth 2
3159)
3160pts [
3161"0,0"
3162"0,0"
3163]
3164)
3165ss 0
3166es 0
3167sat 32
3168eat 32
3169textGroup (BiTextGroup
3170ps "ConnStartEndStrategy"
3171stg "VerticalLayoutStrategy"
3172first (Text
3173va (VaSet
3174)
3175xt "0,0,3000,1000"
3176st "bundle0"
3177blo "0,800"
3178tm "BundleNameMgr"
3179)
3180second (MLText
3181va (VaSet
3182)
3183xt "0,1000,1000,2000"
3184st "()"
3185tm "BundleContentsMgr"
3186)
3187)
3188bundleNet &0
3189)
3190defaultPortMapFrame (PortMapFrame
3191ps "PortMapFrameStrategy"
3192shape (RectFrame
3193va (VaSet
3194vasetType 1
3195fg "65535,65535,65535"
3196lineColor "0,0,32768"
3197lineWidth 2
3198)
3199xt "0,0,10000,12000"
3200)
3201portMapText (BiTextGroup
3202ps "BottomRightOffsetStrategy"
3203stg "VerticalLayoutStrategy"
3204first (MLText
3205va (VaSet
3206)
3207)
3208second (MLText
3209va (VaSet
3210)
3211tm "PortMapTextMgr"
3212)
3213)
3214)
3215defaultGenFrame (Frame
3216shape (RectFrame
3217va (VaSet
3218vasetType 1
3219fg "65535,65535,65535"
3220lineColor "26368,26368,26368"
3221lineStyle 2
3222lineWidth 3
3223)
3224xt "0,0,20000,20000"
3225)
3226title (TextAssociate
3227ps "TopLeftStrategy"
3228text (MLText
3229va (VaSet
3230)
3231xt "0,-1100,12600,-100"
3232st "g0: FOR i IN 0 TO n GENERATE"
3233tm "FrameTitleTextMgr"
3234)
3235)
3236seqNum (FrameSequenceNumber
3237ps "TopLeftStrategy"
3238shape (Rectangle
3239va (VaSet
3240vasetType 1
3241fg "65535,65535,65535"
3242)
3243xt "50,50,1250,1450"
3244)
3245num (Text
3246va (VaSet
3247)
3248xt "250,250,1050,1250"
3249st "1"
3250blo "250,1050"
3251tm "FrameSeqNumMgr"
3252)
3253)
3254decls (MlTextGroup
3255ps "BottomRightOffsetStrategy"
3256stg "VerticalLayoutStrategy"
3257textVec [
3258*97 (Text
3259va (VaSet
3260font "Arial,8,1"
3261)
3262xt "14100,20000,22000,21000"
3263st "Frame Declarations"
3264blo "14100,20800"
3265)
3266*98 (MLText
3267va (VaSet
3268)
3269xt "14100,21000,14100,21000"
3270tm "BdFrameDeclTextMgr"
3271)
3272]
3273)
3274)
3275defaultBlockFrame (Frame
3276shape (RectFrame
3277va (VaSet
3278vasetType 1
3279fg "65535,65535,65535"
3280lineColor "26368,26368,26368"
3281lineStyle 1
3282lineWidth 3
3283)
3284xt "0,0,20000,20000"
3285)
3286title (TextAssociate
3287ps "TopLeftStrategy"
3288text (MLText
3289va (VaSet
3290)
3291xt "0,-1100,7400,-100"
3292st "b0: BLOCK (guard)"
3293tm "FrameTitleTextMgr"
3294)
3295)
3296seqNum (FrameSequenceNumber
3297ps "TopLeftStrategy"
3298shape (Rectangle
3299va (VaSet
3300vasetType 1
3301fg "65535,65535,65535"
3302)
3303xt "50,50,1250,1450"
3304)
3305num (Text
3306va (VaSet
3307)
3308xt "250,250,1050,1250"
3309st "1"
3310blo "250,1050"
3311tm "FrameSeqNumMgr"
3312)
3313)
3314decls (MlTextGroup
3315ps "BottomRightOffsetStrategy"
3316stg "VerticalLayoutStrategy"
3317textVec [
3318*99 (Text
3319va (VaSet
3320font "Arial,8,1"
3321)
3322xt "14100,20000,22000,21000"
3323st "Frame Declarations"
3324blo "14100,20800"
3325)
3326*100 (MLText
3327va (VaSet
3328)
3329xt "14100,21000,14100,21000"
3330tm "BdFrameDeclTextMgr"
3331)
3332]
3333)
3334style 3
3335)
3336defaultSaCptPort (CptPort
3337ps "OnEdgeStrategy"
3338shape (Triangle
3339ro 90
3340va (VaSet
3341vasetType 1
3342fg "0,65535,0"
3343)
3344xt "0,0,750,750"
3345)
3346tg (CPTG
3347ps "CptPortTextPlaceStrategy"
3348stg "VerticalLayoutStrategy"
3349f (Text
3350va (VaSet
3351)
3352xt "0,750,1800,1750"
3353st "Port"
3354blo "0,1550"
3355)
3356)
3357thePort (LogicalPort
3358decl (Decl
3359n "Port"
3360t ""
3361o 0
3362)
3363)
3364)
3365defaultSaCptPortBuffer (CptPort
3366ps "OnEdgeStrategy"
3367shape (Diamond
3368va (VaSet
3369vasetType 1
3370fg "65535,65535,65535"
3371)
3372xt "0,0,750,750"
3373)
3374tg (CPTG
3375ps "CptPortTextPlaceStrategy"
3376stg "VerticalLayoutStrategy"
3377f (Text
3378va (VaSet
3379)
3380xt "0,750,1800,1750"
3381st "Port"
3382blo "0,1550"
3383)
3384)
3385thePort (LogicalPort
3386m 3
3387decl (Decl
3388n "Port"
3389t ""
3390o 0
3391)
3392)
3393)
3394defaultDeclText (MLText
3395va (VaSet
3396font "Courier New,8,0"
3397)
3398)
3399archDeclarativeBlock (BdArchDeclBlock
3400uid 1,0
3401stg "BdArchDeclBlockLS"
3402declLabel (Text
3403uid 2,0
3404va (VaSet
3405font "Arial,8,1"
3406)
3407xt "20000,0,25400,1000"
3408st "Declarations"
3409blo "20000,800"
3410)
3411portLabel (Text
3412uid 3,0
3413va (VaSet
3414font "Arial,8,1"
3415)
3416xt "20000,1000,22700,2000"
3417st "Ports:"
3418blo "20000,1800"
3419)
3420preUserLabel (Text
3421uid 4,0
3422va (VaSet
3423isHidden 1
3424font "Arial,8,1"
3425)
3426xt "20000,0,23800,1000"
3427st "Pre User:"
3428blo "20000,800"
3429)
3430preUserText (MLText
3431uid 5,0
3432va (VaSet
3433isHidden 1
3434font "Courier New,8,0"
3435)
3436xt "20000,0,20000,0"
3437tm "BdDeclarativeTextMgr"
3438)
3439diagSignalLabel (Text
3440uid 6,0
3441va (VaSet
3442font "Arial,8,1"
3443)
3444xt "20000,2000,27100,3000"
3445st "Diagram Signals:"
3446blo "20000,2800"
3447)
3448postUserLabel (Text
3449uid 7,0
3450va (VaSet
3451isHidden 1
3452font "Arial,8,1"
3453)
3454xt "20000,0,24700,1000"
3455st "Post User:"
3456blo "20000,800"
3457)
3458postUserText (MLText
3459uid 8,0
3460va (VaSet
3461isHidden 1
3462font "Courier New,8,0"
3463)
3464xt "20000,0,20000,0"
3465tm "BdDeclarativeTextMgr"
3466)
3467)
3468commonDM (CommonDM
3469ldm (LogicalDM
3470suid 11,0
3471usingSuid 1
3472emptyRow *101 (LEmptyRow
3473)
3474uid 316,0
3475optionalChildren [
3476*102 (RefLabelRowHdr
3477)
3478*103 (TitleRowHdr
3479)
3480*104 (FilterRowHdr
3481)
3482*105 (RefLabelColHdr
3483tm "RefLabelColHdrMgr"
3484)
3485*106 (RowExpandColHdr
3486tm "RowExpandColHdrMgr"
3487)
3488*107 (GroupColHdr
3489tm "GroupColHdrMgr"
3490)
3491*108 (NameColHdr
3492tm "BlockDiagramNameColHdrMgr"
3493)
3494*109 (ModeColHdr
3495tm "BlockDiagramModeColHdrMgr"
3496)
3497*110 (TypeColHdr
3498tm "BlockDiagramTypeColHdrMgr"
3499)
3500*111 (BoundsColHdr
3501tm "BlockDiagramBoundsColHdrMgr"
3502)
3503*112 (InitColHdr
3504tm "BlockDiagramInitColHdrMgr"
3505)
3506*113 (EolColHdr
3507tm "BlockDiagramEolColHdrMgr"
3508)
3509*114 (LeafLogPort
3510port (LogicalPort
3511lang 10
3512m 4
3513decl (Decl
3514n "SCLK"
3515t "std_logic"
3516o 1
3517suid 1,0
3518)
3519)
3520uid 281,0
3521)
3522*115 (LeafLogPort
3523port (LogicalPort
3524lang 10
3525m 4
3526decl (Decl
3527n "CS"
3528t "std_logic"
3529o 2
3530suid 2,0
3531)
3532)
3533uid 283,0
3534)
3535*116 (LeafLogPort
3536port (LogicalPort
3537lang 10
3538m 4
3539decl (Decl
3540n "MOSI"
3541t "std_logic"
3542o 3
3543suid 3,0
3544)
3545)
3546uid 285,0
3547)
3548*117 (LeafLogPort
3549port (LogicalPort
3550lang 10
3551m 4
3552decl (Decl
3553n "MISO"
3554t "std_logic"
3555o 4
3556suid 4,0
3557)
3558)
3559uid 287,0
3560)
3561*118 (LeafLogPort
3562port (LogicalPort
3563lang 10
3564m 4
3565decl (Decl
3566n "ready"
3567t "std_logic"
3568o 5
3569suid 5,0
3570)
3571)
3572uid 289,0
3573)
3574*119 (LeafLogPort
3575port (LogicalPort
3576lang 10
3577m 4
3578decl (Decl
3579n "busy"
3580t "std_logic"
3581o 6
3582suid 6,0
3583)
3584)
3585uid 291,0
3586)
3587*120 (LeafLogPort
3588port (LogicalPort
3589m 4
3590decl (Decl
3591n "clk"
3592t "std_logic"
3593o 7
3594suid 7,0
3595)
3596)
3597uid 293,0
3598)
3599*121 (LeafLogPort
3600port (LogicalPort
3601m 4
3602decl (Decl
3603n "transmit"
3604t "std_logic"
3605o 8
3606suid 8,0
3607)
3608)
3609uid 295,0
3610)
3611*122 (LeafLogPort
3612port (LogicalPort
3613lang 10
3614m 4
3615decl (Decl
3616n "command"
3617t "std_logic_vector"
3618b "(3 DOWNTO 0)"
3619o 9
3620suid 9,0
3621)
3622)
3623uid 297,0
3624)
3625*123 (LeafLogPort
3626port (LogicalPort
3627lang 10
3628m 4
3629decl (Decl
3630n "address"
3631t "std_logic_vector"
3632b "(3 DOWNTO 0)"
3633o 10
3634suid 10,0
3635)
3636)
3637uid 299,0
3638)
3639*124 (LeafLogPort
3640port (LogicalPort
3641lang 10
3642m 4
3643decl (Decl
3644n "data"
3645t "std_logic_vector"
3646b "(15 DOWNTO 0)"
3647o 11
3648suid 11,0
3649)
3650)
3651uid 301,0
3652)
3653]
3654)
3655pdm (PhysicalDM
3656displayShortBounds 1
3657editShortBounds 1
3658uid 329,0
3659optionalChildren [
3660*125 (Sheet
3661sheetRow (SheetRow
3662headerVa (MVa
3663cellColor "49152,49152,49152"
3664fontColor "0,0,0"
3665font "Tahoma,10,0"
3666)
3667cellVa (MVa
3668cellColor "65535,65535,65535"
3669fontColor "0,0,0"
3670font "Tahoma,10,0"
3671)
3672groupVa (MVa
3673cellColor "39936,56832,65280"
3674fontColor "0,0,0"
3675font "Tahoma,10,0"
3676)
3677emptyMRCItem *126 (MRCItem
3678litem &101
3679pos 11
3680dimension 20
3681)
3682uid 331,0
3683optionalChildren [
3684*127 (MRCItem
3685litem &102
3686pos 0
3687dimension 20
3688uid 332,0
3689)
3690*128 (MRCItem
3691litem &103
3692pos 1
3693dimension 23
3694uid 333,0
3695)
3696*129 (MRCItem
3697litem &104
3698pos 2
3699hidden 1
3700dimension 20
3701uid 334,0
3702)
3703*130 (MRCItem
3704litem &114
3705pos 0
3706dimension 20
3707uid 282,0
3708)
3709*131 (MRCItem
3710litem &115
3711pos 1
3712dimension 20
3713uid 284,0
3714)
3715*132 (MRCItem
3716litem &116
3717pos 2
3718dimension 20
3719uid 286,0
3720)
3721*133 (MRCItem
3722litem &117
3723pos 3
3724dimension 20
3725uid 288,0
3726)
3727*134 (MRCItem
3728litem &118
3729pos 4
3730dimension 20
3731uid 290,0
3732)
3733*135 (MRCItem
3734litem &119
3735pos 5
3736dimension 20
3737uid 292,0
3738)
3739*136 (MRCItem
3740litem &120
3741pos 6
3742dimension 20
3743uid 294,0
3744)
3745*137 (MRCItem
3746litem &121
3747pos 7
3748dimension 20
3749uid 296,0
3750)
3751*138 (MRCItem
3752litem &122
3753pos 8
3754dimension 20
3755uid 298,0
3756)
3757*139 (MRCItem
3758litem &123
3759pos 9
3760dimension 20
3761uid 300,0
3762)
3763*140 (MRCItem
3764litem &124
3765pos 10
3766dimension 20
3767uid 302,0
3768)
3769]
3770)
3771sheetCol (SheetCol
3772propVa (MVa
3773cellColor "0,49152,49152"
3774fontColor "0,0,0"
3775font "Tahoma,10,0"
3776textAngle 90
3777)
3778uid 335,0
3779optionalChildren [
3780*141 (MRCItem
3781litem &105
3782pos 0
3783dimension 20
3784uid 336,0
3785)
3786*142 (MRCItem
3787litem &107
3788pos 1
3789dimension 50
3790uid 337,0
3791)
3792*143 (MRCItem
3793litem &108
3794pos 2
3795dimension 100
3796uid 338,0
3797)
3798*144 (MRCItem
3799litem &109
3800pos 3
3801dimension 50
3802uid 339,0
3803)
3804*145 (MRCItem
3805litem &110
3806pos 4
3807dimension 100
3808uid 340,0
3809)
3810*146 (MRCItem
3811litem &111
3812pos 5
3813dimension 100
3814uid 341,0
3815)
3816*147 (MRCItem
3817litem &112
3818pos 6
3819dimension 50
3820uid 342,0
3821)
3822*148 (MRCItem
3823litem &113
3824pos 7
3825dimension 80
3826uid 343,0
3827)
3828]
3829)
3830fixedCol 4
3831fixedRow 2
3832name "Ports"
3833uid 330,0
3834vaOverrides [
3835]
3836)
3837]
3838)
3839uid 315,0
3840)
3841genericsCommonDM (CommonDM
3842ldm (LogicalDM
3843emptyRow *149 (LEmptyRow
3844)
3845uid 345,0
3846optionalChildren [
3847*150 (RefLabelRowHdr
3848)
3849*151 (TitleRowHdr
3850)
3851*152 (FilterRowHdr
3852)
3853*153 (RefLabelColHdr
3854tm "RefLabelColHdrMgr"
3855)
3856*154 (RowExpandColHdr
3857tm "RowExpandColHdrMgr"
3858)
3859*155 (GroupColHdr
3860tm "GroupColHdrMgr"
3861)
3862*156 (NameColHdr
3863tm "GenericNameColHdrMgr"
3864)
3865*157 (TypeColHdr
3866tm "GenericTypeColHdrMgr"
3867)
3868*158 (InitColHdr
3869tm "GenericValueColHdrMgr"
3870)
3871*159 (PragmaColHdr
3872tm "GenericPragmaColHdrMgr"
3873)
3874*160 (EolColHdr
3875tm "GenericEolColHdrMgr"
3876)
3877]
3878)
3879pdm (PhysicalDM
3880displayShortBounds 1
3881editShortBounds 1
3882uid 357,0
3883optionalChildren [
3884*161 (Sheet
3885sheetRow (SheetRow
3886headerVa (MVa
3887cellColor "49152,49152,49152"
3888fontColor "0,0,0"
3889font "Tahoma,10,0"
3890)
3891cellVa (MVa
3892cellColor "65535,65535,65535"
3893fontColor "0,0,0"
3894font "Tahoma,10,0"
3895)
3896groupVa (MVa
3897cellColor "39936,56832,65280"
3898fontColor "0,0,0"
3899font "Tahoma,10,0"
3900)
3901emptyMRCItem *162 (MRCItem
3902litem &149
3903pos 0
3904dimension 20
3905)
3906uid 359,0
3907optionalChildren [
3908*163 (MRCItem
3909litem &150
3910pos 0
3911dimension 20
3912uid 360,0
3913)
3914*164 (MRCItem
3915litem &151
3916pos 1
3917dimension 23
3918uid 361,0
3919)
3920*165 (MRCItem
3921litem &152
3922pos 2
3923hidden 1
3924dimension 20
3925uid 362,0
3926)
3927]
3928)
3929sheetCol (SheetCol
3930propVa (MVa
3931cellColor "0,49152,49152"
3932fontColor "0,0,0"
3933font "Tahoma,10,0"
3934textAngle 90
3935)
3936uid 363,0
3937optionalChildren [
3938*166 (MRCItem
3939litem &153
3940pos 0
3941dimension 20
3942uid 364,0
3943)
3944*167 (MRCItem
3945litem &155
3946pos 1
3947dimension 50
3948uid 365,0
3949)
3950*168 (MRCItem
3951litem &156
3952pos 2
3953dimension 100
3954uid 366,0
3955)
3956*169 (MRCItem
3957litem &157
3958pos 3
3959dimension 100
3960uid 367,0
3961)
3962*170 (MRCItem
3963litem &158
3964pos 4
3965dimension 50
3966uid 368,0
3967)
3968*171 (MRCItem
3969litem &159
3970pos 5
3971dimension 50
3972uid 369,0
3973)
3974*172 (MRCItem
3975litem &160
3976pos 6
3977dimension 80
3978uid 370,0
3979)
3980]
3981)
3982fixedCol 3
3983fixedRow 2
3984name "Ports"
3985uid 358,0
3986vaOverrides [
3987]
3988)
3989]
3990)
3991uid 344,0
3992type 1
3993)
3994activeModelName "BlockDiag"
3995)
Note: See TracBrowser for help on using the repository browser.