source: firmware/FAD/FACT_FAD_TB_lib/hds/spi_ltc2600_tester/interface

Last change on this file was 11755, checked in by neise, 13 years ago
reinit of this svn repos .... it was all too messy deleted the old folders and restarted with FACT_FAD_lib only. (well and the testbenches)
File size: 24.2 KB
Line 
1DocumentHdrVersion "1.1"
2Header (DocumentHdr
3version 2
4dmPackageRefs [
5(DmPackageRef
6library "ieee"
7unitName "std_logic_1164"
8itemName "ALL"
9)
10(DmPackageRef
11library "ieee"
12unitName "std_logic_arith"
13itemName "ALL"
14)
15(DmPackageRef
16library "ieee"
17unitName "std_logic_unsigned"
18)
19]
20libraryRefs [
21"ieee"
22]
23)
24version "24.1"
25appVersion "2009.2 (Build 10)"
26model (Symbol
27commonDM (CommonDM
28ldm (LogicalDM
29ordering 1
30suid 32,0
31usingSuid 1
32emptyRow *1 (LEmptyRow
33)
34uid 130,0
35optionalChildren [
36*2 (RefLabelRowHdr
37)
38*3 (TitleRowHdr
39)
40*4 (FilterRowHdr
41)
42*5 (RefLabelColHdr
43tm "RefLabelColHdrMgr"
44)
45*6 (RowExpandColHdr
46tm "RowExpandColHdrMgr"
47)
48*7 (GroupColHdr
49tm "GroupColHdrMgr"
50)
51*8 (NameColHdr
52tm "NameColHdrMgr"
53)
54*9 (ModeColHdr
55tm "ModeColHdrMgr"
56)
57*10 (TypeColHdr
58tm "TypeColHdrMgr"
59)
60*11 (BoundsColHdr
61tm "BoundsColHdrMgr"
62)
63*12 (InitColHdr
64tm "InitColHdrMgr"
65)
66*13 (EolColHdr
67tm "EolColHdrMgr"
68)
69*14 (LogPort
70port (LogicalPort
71lang 10
72m 1
73decl (Decl
74n "address"
75t "std_logic_vector"
76b "(3 DOWNTO 0)"
77o 7
78suid 23,0
79)
80)
81uid 358,0
82)
83*15 (LogPort
84port (LogicalPort
85lang 10
86decl (Decl
87n "busy"
88t "std_logic"
89o 5
90suid 24,0
91)
92)
93uid 360,0
94)
95*16 (LogPort
96port (LogicalPort
97lang 10
98m 1
99decl (Decl
100n "command"
101t "std_logic_vector"
102b "(3 DOWNTO 0)"
103o 8
104suid 25,0
105)
106)
107uid 362,0
108)
109*17 (LogPort
110port (LogicalPort
111lang 10
112decl (Decl
113n "CS"
114t "std_logic"
115o 1
116suid 26,0
117)
118)
119uid 364,0
120)
121*18 (LogPort
122port (LogicalPort
123lang 10
124m 1
125decl (Decl
126n "data"
127t "std_logic_vector"
128b "(15 DOWNTO 0)"
129o 9
130suid 27,0
131)
132)
133uid 366,0
134)
135*19 (LogPort
136port (LogicalPort
137lang 10
138decl (Decl
139n "MISO"
140t "std_logic"
141o 2
142suid 28,0
143)
144)
145uid 368,0
146)
147*20 (LogPort
148port (LogicalPort
149lang 10
150decl (Decl
151n "MOSI"
152t "std_logic"
153o 3
154suid 29,0
155)
156)
157uid 370,0
158)
159*21 (LogPort
160port (LogicalPort
161lang 10
162decl (Decl
163n "ready"
164t "std_logic"
165o 6
166suid 30,0
167)
168)
169uid 372,0
170)
171*22 (LogPort
172port (LogicalPort
173lang 10
174decl (Decl
175n "SCLK"
176t "std_logic"
177o 4
178suid 31,0
179)
180)
181uid 374,0
182)
183*23 (LogPort
184port (LogicalPort
185m 1
186decl (Decl
187n "transmit"
188t "std_logic"
189o 10
190suid 32,0
191)
192)
193uid 376,0
194)
195]
196)
197pdm (PhysicalDM
198displayShortBounds 1
199editShortBounds 1
200uid 143,0
201optionalChildren [
202*24 (Sheet
203sheetRow (SheetRow
204headerVa (MVa
205cellColor "49152,49152,49152"
206fontColor "0,0,0"
207font "Tahoma,10,0"
208)
209cellVa (MVa
210cellColor "65535,65535,65535"
211fontColor "0,0,0"
212font "Tahoma,10,0"
213)
214groupVa (MVa
215cellColor "39936,56832,65280"
216fontColor "0,0,0"
217font "Tahoma,10,0"
218)
219emptyMRCItem *25 (MRCItem
220litem &1
221pos 3
222dimension 20
223)
224uid 145,0
225optionalChildren [
226*26 (MRCItem
227litem &2
228pos 0
229dimension 20
230uid 146,0
231)
232*27 (MRCItem
233litem &3
234pos 1
235dimension 23
236uid 147,0
237)
238*28 (MRCItem
239litem &4
240pos 2
241hidden 1
242dimension 20
243uid 148,0
244)
245*29 (MRCItem
246litem &14
247pos 0
248dimension 20
249uid 359,0
250)
251*30 (MRCItem
252litem &15
253pos 1
254dimension 20
255uid 361,0
256)
257*31 (MRCItem
258litem &16
259pos 2
260dimension 20
261uid 363,0
262)
263*32 (MRCItem
264litem &17
265pos 3
266dimension 20
267uid 365,0
268)
269*33 (MRCItem
270litem &18
271pos 4
272dimension 20
273uid 367,0
274)
275*34 (MRCItem
276litem &19
277pos 5
278dimension 20
279uid 369,0
280)
281*35 (MRCItem
282litem &20
283pos 6
284dimension 20
285uid 371,0
286)
287*36 (MRCItem
288litem &21
289pos 7
290dimension 20
291uid 373,0
292)
293*37 (MRCItem
294litem &22
295pos 8
296dimension 20
297uid 375,0
298)
299*38 (MRCItem
300litem &23
301pos 9
302dimension 20
303uid 377,0
304)
305]
306)
307sheetCol (SheetCol
308propVa (MVa
309cellColor "0,49152,49152"
310fontColor "0,0,0"
311font "Tahoma,10,0"
312textAngle 90
313)
314uid 149,0
315optionalChildren [
316*39 (MRCItem
317litem &5
318pos 0
319dimension 20
320uid 150,0
321)
322*40 (MRCItem
323litem &7
324pos 1
325dimension 50
326uid 151,0
327)
328*41 (MRCItem
329litem &8
330pos 2
331dimension 100
332uid 152,0
333)
334*42 (MRCItem
335litem &9
336pos 3
337dimension 50
338uid 153,0
339)
340*43 (MRCItem
341litem &10
342pos 4
343dimension 100
344uid 154,0
345)
346*44 (MRCItem
347litem &11
348pos 5
349dimension 100
350uid 155,0
351)
352*45 (MRCItem
353litem &12
354pos 6
355dimension 50
356uid 156,0
357)
358*46 (MRCItem
359litem &13
360pos 7
361dimension 80
362uid 157,0
363)
364]
365)
366fixedCol 4
367fixedRow 2
368name "Ports"
369uid 144,0
370vaOverrides [
371]
372)
373]
374)
375uid 129,0
376)
377genericsCommonDM (CommonDM
378ldm (LogicalDM
379emptyRow *47 (LEmptyRow
380)
381uid 159,0
382optionalChildren [
383*48 (RefLabelRowHdr
384)
385*49 (TitleRowHdr
386)
387*50 (FilterRowHdr
388)
389*51 (RefLabelColHdr
390tm "RefLabelColHdrMgr"
391)
392*52 (RowExpandColHdr
393tm "RowExpandColHdrMgr"
394)
395*53 (GroupColHdr
396tm "GroupColHdrMgr"
397)
398*54 (NameColHdr
399tm "GenericNameColHdrMgr"
400)
401*55 (TypeColHdr
402tm "GenericTypeColHdrMgr"
403)
404*56 (InitColHdr
405tm "GenericValueColHdrMgr"
406)
407*57 (PragmaColHdr
408tm "GenericPragmaColHdrMgr"
409)
410*58 (EolColHdr
411tm "GenericEolColHdrMgr"
412)
413]
414)
415pdm (PhysicalDM
416displayShortBounds 1
417editShortBounds 1
418uid 171,0
419optionalChildren [
420*59 (Sheet
421sheetRow (SheetRow
422headerVa (MVa
423cellColor "49152,49152,49152"
424fontColor "0,0,0"
425font "Tahoma,10,0"
426)
427cellVa (MVa
428cellColor "65535,65535,65535"
429fontColor "0,0,0"
430font "Tahoma,10,0"
431)
432groupVa (MVa
433cellColor "39936,56832,65280"
434fontColor "0,0,0"
435font "Tahoma,10,0"
436)
437emptyMRCItem *60 (MRCItem
438litem &47
439pos 3
440dimension 20
441)
442uid 173,0
443optionalChildren [
444*61 (MRCItem
445litem &48
446pos 0
447dimension 20
448uid 174,0
449)
450*62 (MRCItem
451litem &49
452pos 1
453dimension 23
454uid 175,0
455)
456*63 (MRCItem
457litem &50
458pos 2
459hidden 1
460dimension 20
461uid 176,0
462)
463]
464)
465sheetCol (SheetCol
466propVa (MVa
467cellColor "0,49152,49152"
468fontColor "0,0,0"
469font "Tahoma,10,0"
470textAngle 90
471)
472uid 177,0
473optionalChildren [
474*64 (MRCItem
475litem &51
476pos 0
477dimension 20
478uid 178,0
479)
480*65 (MRCItem
481litem &53
482pos 1
483dimension 50
484uid 179,0
485)
486*66 (MRCItem
487litem &54
488pos 2
489dimension 100
490uid 180,0
491)
492*67 (MRCItem
493litem &55
494pos 3
495dimension 100
496uid 181,0
497)
498*68 (MRCItem
499litem &56
500pos 4
501dimension 50
502uid 182,0
503)
504*69 (MRCItem
505litem &57
506pos 5
507dimension 50
508uid 183,0
509)
510*70 (MRCItem
511litem &58
512pos 6
513dimension 80
514uid 184,0
515)
516]
517)
518fixedCol 3
519fixedRow 2
520name "Ports"
521uid 172,0
522vaOverrides [
523]
524)
525]
526)
527uid 158,0
528type 1
529)
530VExpander (VariableExpander
531vvMap [
532(vvPair
533variable "HDLDir"
534value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl"
535)
536(vvPair
537variable "HDSDir"
538value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
539)
540(vvPair
541variable "SideDataDesignDir"
542value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tester\\interface.info"
543)
544(vvPair
545variable "SideDataUserDir"
546value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tester\\interface.user"
547)
548(vvPair
549variable "SourceDir"
550value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds"
551)
552(vvPair
553variable "appl"
554value "HDL Designer"
555)
556(vvPair
557variable "arch_name"
558value "interface"
559)
560(vvPair
561variable "config"
562value "%(unit)_%(view)_config"
563)
564(vvPair
565variable "d"
566value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tester"
567)
568(vvPair
569variable "d_logical"
570value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tester"
571)
572(vvPair
573variable "date"
574value "03.02.2011"
575)
576(vvPair
577variable "day"
578value "Do"
579)
580(vvPair
581variable "day_long"
582value "Donnerstag"
583)
584(vvPair
585variable "dd"
586value "03"
587)
588(vvPair
589variable "entity_name"
590value "spi_ltc2600_tester"
591)
592(vvPair
593variable "ext"
594value "<TBD>"
595)
596(vvPair
597variable "f"
598value "interface"
599)
600(vvPair
601variable "f_logical"
602value "interface"
603)
604(vvPair
605variable "f_noext"
606value "interface"
607)
608(vvPair
609variable "group"
610value "UNKNOWN"
611)
612(vvPair
613variable "host"
614value "E5B-LABOR6"
615)
616(vvPair
617variable "language"
618value "VHDL"
619)
620(vvPair
621variable "library"
622value "FACT_FAD_TB_lib"
623)
624(vvPair
625variable "library_downstream_ISEPARInvoke"
626value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
627)
628(vvPair
629variable "library_downstream_ImpactInvoke"
630value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
631)
632(vvPair
633variable "library_downstream_ModelSimCompiler"
634value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work"
635)
636(vvPair
637variable "library_downstream_XSTDataPrep"
638value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise"
639)
640(vvPair
641variable "mm"
642value "02"
643)
644(vvPair
645variable "module_name"
646value "spi_ltc2600_tester"
647)
648(vvPair
649variable "month"
650value "Feb"
651)
652(vvPair
653variable "month_long"
654value "Februar"
655)
656(vvPair
657variable "p"
658value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tester\\interface"
659)
660(vvPair
661variable "p_logical"
662value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_ltc2600_tester\\interface"
663)
664(vvPair
665variable "package_name"
666value "<Undefined Variable>"
667)
668(vvPair
669variable "project_name"
670value "FACT_FAD"
671)
672(vvPair
673variable "series"
674value "HDL Designer Series"
675)
676(vvPair
677variable "task_DesignCompilerPath"
678value "<TBD>"
679)
680(vvPair
681variable "task_LeonardoPath"
682value "<TBD>"
683)
684(vvPair
685variable "task_ModelSimPath"
686value "C:\\modeltech_6.6a\\win32"
687)
688(vvPair
689variable "task_NC-SimPath"
690value "<TBD>"
691)
692(vvPair
693variable "task_PrecisionRTLPath"
694value "<TBD>"
695)
696(vvPair
697variable "task_QuestaSimPath"
698value "<TBD>"
699)
700(vvPair
701variable "task_VCSPath"
702value "<TBD>"
703)
704(vvPair
705variable "this_ext"
706value "<TBD>"
707)
708(vvPair
709variable "this_file"
710value "interface"
711)
712(vvPair
713variable "this_file_logical"
714value "interface"
715)
716(vvPair
717variable "time"
718value "20:11:13"
719)
720(vvPair
721variable "unit"
722value "spi_ltc2600_tester"
723)
724(vvPair
725variable "user"
726value "dneise"
727)
728(vvPair
729variable "version"
730value "2009.2 (Build 10)"
731)
732(vvPair
733variable "view"
734value "interface"
735)
736(vvPair
737variable "year"
738value "2011"
739)
740(vvPair
741variable "yy"
742value "11"
743)
744]
745)
746LanguageMgr "VhdlLangMgr"
747uid 128,0
748optionalChildren [
749*71 (SymbolBody
750uid 8,0
751optionalChildren [
752*72 (CptPort
753uid 308,0
754ps "OnEdgeStrategy"
755shape (Triangle
756uid 309,0
757ro 270
758va (VaSet
759vasetType 1
760fg "0,65535,0"
761)
762xt "14250,9625,15000,10375"
763)
764tg (CPTG
765uid 310,0
766ps "CptPortTextPlaceStrategy"
767stg "VerticalLayoutStrategy"
768f (Text
769uid 311,0
770va (VaSet
771)
772xt "16000,9500,19100,10500"
773st "address"
774blo "16000,10300"
775tm "CptPortNameMgr"
776)
777)
778dt (MLText
779uid 312,0
780va (VaSet
781font "Courier New,8,0"
782)
783)
784thePort (LogicalPort
785lang 10
786m 1
787decl (Decl
788n "address"
789t "std_logic_vector"
790b "(3 DOWNTO 0)"
791o 7
792suid 23,0
793)
794)
795)
796*73 (CptPort
797uid 313,0
798ps "OnEdgeStrategy"
799shape (Triangle
800uid 314,0
801ro 270
802va (VaSet
803vasetType 1
804fg "0,65535,0"
805)
806xt "27000,11625,27750,12375"
807)
808tg (CPTG
809uid 315,0
810ps "CptPortTextPlaceStrategy"
811stg "RightVerticalLayoutStrategy"
812f (Text
813uid 316,0
814va (VaSet
815)
816xt "24100,11500,26000,12500"
817st "busy"
818ju 2
819blo "26000,12300"
820tm "CptPortNameMgr"
821)
822)
823dt (MLText
824uid 317,0
825va (VaSet
826font "Courier New,8,0"
827)
828)
829thePort (LogicalPort
830lang 10
831decl (Decl
832n "busy"
833t "std_logic"
834o 5
835suid 24,0
836)
837)
838)
839*74 (CptPort
840uid 318,0
841ps "OnEdgeStrategy"
842shape (Triangle
843uid 319,0
844ro 270
845va (VaSet
846vasetType 1
847fg "0,65535,0"
848)
849xt "14250,8625,15000,9375"
850)
851tg (CPTG
852uid 320,0
853ps "CptPortTextPlaceStrategy"
854stg "VerticalLayoutStrategy"
855f (Text
856uid 321,0
857va (VaSet
858)
859xt "16000,8500,19600,9500"
860st "command"
861blo "16000,9300"
862tm "CptPortNameMgr"
863)
864)
865dt (MLText
866uid 322,0
867va (VaSet
868font "Courier New,8,0"
869)
870)
871thePort (LogicalPort
872lang 10
873m 1
874decl (Decl
875n "command"
876t "std_logic_vector"
877b "(3 DOWNTO 0)"
878o 8
879suid 25,0
880)
881)
882)
883*75 (CptPort
884uid 323,0
885ps "OnEdgeStrategy"
886shape (Triangle
887uid 324,0
888ro 270
889va (VaSet
890vasetType 1
891fg "0,65535,0"
892)
893xt "27000,7625,27750,8375"
894)
895tg (CPTG
896uid 325,0
897ps "CptPortTextPlaceStrategy"
898stg "RightVerticalLayoutStrategy"
899f (Text
900uid 326,0
901va (VaSet
902)
903xt "24500,7500,26000,8500"
904st "CS"
905ju 2
906blo "26000,8300"
907tm "CptPortNameMgr"
908)
909)
910dt (MLText
911uid 327,0
912va (VaSet
913font "Courier New,8,0"
914)
915)
916thePort (LogicalPort
917lang 10
918decl (Decl
919n "CS"
920t "std_logic"
921o 1
922suid 26,0
923)
924)
925)
926*76 (CptPort
927uid 328,0
928ps "OnEdgeStrategy"
929shape (Triangle
930uid 329,0
931ro 270
932va (VaSet
933vasetType 1
934fg "0,65535,0"
935)
936xt "14250,10625,15000,11375"
937)
938tg (CPTG
939uid 330,0
940ps "CptPortTextPlaceStrategy"
941stg "VerticalLayoutStrategy"
942f (Text
943uid 331,0
944va (VaSet
945)
946xt "16000,10500,17800,11500"
947st "data"
948blo "16000,11300"
949tm "CptPortNameMgr"
950)
951)
952dt (MLText
953uid 332,0
954va (VaSet
955font "Courier New,8,0"
956)
957)
958thePort (LogicalPort
959lang 10
960m 1
961decl (Decl
962n "data"
963t "std_logic_vector"
964b "(15 DOWNTO 0)"
965o 9
966suid 27,0
967)
968)
969)
970*77 (CptPort
971uid 333,0
972ps "OnEdgeStrategy"
973shape (Triangle
974uid 334,0
975ro 270
976va (VaSet
977vasetType 1
978fg "0,65535,0"
979)
980xt "27000,9625,27750,10375"
981)
982tg (CPTG
983uid 335,0
984ps "CptPortTextPlaceStrategy"
985stg "RightVerticalLayoutStrategy"
986f (Text
987uid 336,0
988va (VaSet
989)
990xt "23600,9500,26000,10500"
991st "MISO"
992ju 2
993blo "26000,10300"
994tm "CptPortNameMgr"
995)
996)
997dt (MLText
998uid 337,0
999va (VaSet
1000font "Courier New,8,0"
1001)
1002)
1003thePort (LogicalPort
1004lang 10
1005decl (Decl
1006n "MISO"
1007t "std_logic"
1008o 2
1009suid 28,0
1010)
1011)
1012)
1013*78 (CptPort
1014uid 338,0
1015ps "OnEdgeStrategy"
1016shape (Triangle
1017uid 339,0
1018ro 270
1019va (VaSet
1020vasetType 1
1021fg "0,65535,0"
1022)
1023xt "27000,8625,27750,9375"
1024)
1025tg (CPTG
1026uid 340,0
1027ps "CptPortTextPlaceStrategy"
1028stg "RightVerticalLayoutStrategy"
1029f (Text
1030uid 341,0
1031va (VaSet
1032)
1033xt "23600,8500,26000,9500"
1034st "MOSI"
1035ju 2
1036blo "26000,9300"
1037tm "CptPortNameMgr"
1038)
1039)
1040dt (MLText
1041uid 342,0
1042va (VaSet
1043font "Courier New,8,0"
1044)
1045)
1046thePort (LogicalPort
1047lang 10
1048decl (Decl
1049n "MOSI"
1050t "std_logic"
1051o 3
1052suid 29,0
1053)
1054)
1055)
1056*79 (CptPort
1057uid 343,0
1058ps "OnEdgeStrategy"
1059shape (Triangle
1060uid 344,0
1061ro 270
1062va (VaSet
1063vasetType 1
1064fg "0,65535,0"
1065)
1066xt "27000,10625,27750,11375"
1067)
1068tg (CPTG
1069uid 345,0
1070ps "CptPortTextPlaceStrategy"
1071stg "RightVerticalLayoutStrategy"
1072f (Text
1073uid 346,0
1074va (VaSet
1075)
1076xt "23800,10500,26000,11500"
1077st "ready"
1078ju 2
1079blo "26000,11300"
1080tm "CptPortNameMgr"
1081)
1082)
1083dt (MLText
1084uid 347,0
1085va (VaSet
1086font "Courier New,8,0"
1087)
1088)
1089thePort (LogicalPort
1090lang 10
1091decl (Decl
1092n "ready"
1093t "std_logic"
1094o 6
1095suid 30,0
1096)
1097)
1098)
1099*80 (CptPort
1100uid 348,0
1101ps "OnEdgeStrategy"
1102shape (Triangle
1103uid 349,0
1104ro 270
1105va (VaSet
1106vasetType 1
1107fg "0,65535,0"
1108)
1109xt "27000,6625,27750,7375"
1110)
1111tg (CPTG
1112uid 350,0
1113ps "CptPortTextPlaceStrategy"
1114stg "RightVerticalLayoutStrategy"
1115f (Text
1116uid 351,0
1117va (VaSet
1118)
1119xt "23600,6500,26000,7500"
1120st "SCLK"
1121ju 2
1122blo "26000,7300"
1123tm "CptPortNameMgr"
1124)
1125)
1126dt (MLText
1127uid 352,0
1128va (VaSet
1129font "Courier New,8,0"
1130)
1131)
1132thePort (LogicalPort
1133lang 10
1134decl (Decl
1135n "SCLK"
1136t "std_logic"
1137o 4
1138suid 31,0
1139)
1140)
1141)
1142*81 (CptPort
1143uid 353,0
1144ps "OnEdgeStrategy"
1145shape (Triangle
1146uid 354,0
1147ro 270
1148va (VaSet
1149vasetType 1
1150fg "0,65535,0"
1151)
1152xt "14250,7625,15000,8375"
1153)
1154tg (CPTG
1155uid 355,0
1156ps "CptPortTextPlaceStrategy"
1157stg "VerticalLayoutStrategy"
1158f (Text
1159uid 356,0
1160va (VaSet
1161)
1162xt "16000,7500,19100,8500"
1163st "transmit"
1164blo "16000,8300"
1165tm "CptPortNameMgr"
1166)
1167)
1168dt (MLText
1169uid 357,0
1170va (VaSet
1171font "Courier New,8,0"
1172)
1173)
1174thePort (LogicalPort
1175m 1
1176decl (Decl
1177n "transmit"
1178t "std_logic"
1179o 10
1180suid 32,0
1181)
1182)
1183)
1184]
1185shape (Rectangle
1186uid 9,0
1187va (VaSet
1188vasetType 1
1189fg "0,65535,0"
1190lineColor "0,32896,0"
1191lineWidth 2
1192)
1193xt "15000,6000,27000,13000"
1194)
1195biTextGroup (BiTextGroup
1196uid 10,0
1197ps "CenterOffsetStrategy"
1198stg "VerticalLayoutStrategy"
1199first (Text
1200uid 11,0
1201va (VaSet
1202font "Arial,8,1"
1203)
1204xt "17150,8500,24850,9500"
1205st "FACT_FAD_TB_lib"
1206blo "17150,9300"
1207)
1208second (Text
1209uid 12,0
1210va (VaSet
1211font "Arial,8,1"
1212)
1213xt "17150,9500,24450,10500"
1214st "spi_ltc2600_tester"
1215blo "17150,10300"
1216)
1217)
1218gi *82 (GenericInterface
1219uid 13,0
1220ps "CenterOffsetStrategy"
1221matrix (Matrix
1222uid 14,0
1223text (MLText
1224uid 15,0
1225va (VaSet
1226font "Courier New,8,0"
1227)
1228xt "-3000,5500,8500,6300"
1229st "Generic Declarations"
1230)
1231header "Generic Declarations"
1232showHdrWhenContentsEmpty 1
1233)
1234elements [
1235]
1236)
1237portInstanceVisAsIs 1
1238portInstanceVis (PortSigDisplay
1239sTC 0
1240sF 0
1241)
1242portVis (PortSigDisplay
1243sTC 0
1244sF 0
1245)
1246)
1247*83 (Grouping
1248uid 16,0
1249optionalChildren [
1250*84 (CommentText
1251uid 18,0
1252shape (Rectangle
1253uid 19,0
1254sl 0
1255va (VaSet
1256vasetType 1
1257fg "65280,65280,46080"
1258)
1259xt "36000,48000,53000,49000"
1260)
1261oxt "18000,70000,35000,71000"
1262text (MLText
1263uid 20,0
1264va (VaSet
1265fg "0,0,32768"
1266bg "0,0,32768"
1267)
1268xt "36200,48000,45800,49000"
1269st "
1270by %user on %dd %month %year
1271"
1272tm "CommentText"
1273wrapOption 3
1274visibleHeight 1000
1275visibleWidth 17000
1276)
1277position 1
1278ignorePrefs 1
1279titleBlock 1
1280)
1281*85 (CommentText
1282uid 21,0
1283shape (Rectangle
1284uid 22,0
1285sl 0
1286va (VaSet
1287vasetType 1
1288fg "65280,65280,46080"
1289)
1290xt "53000,44000,57000,45000"
1291)
1292oxt "35000,66000,39000,67000"
1293text (MLText
1294uid 23,0
1295va (VaSet
1296fg "0,0,32768"
1297bg "0,0,32768"
1298)
1299xt "53200,44000,56200,45000"
1300st "
1301Project:
1302"
1303tm "CommentText"
1304wrapOption 3
1305visibleHeight 1000
1306visibleWidth 4000
1307)
1308position 1
1309ignorePrefs 1
1310titleBlock 1
1311)
1312*86 (CommentText
1313uid 24,0
1314shape (Rectangle
1315uid 25,0
1316sl 0
1317va (VaSet
1318vasetType 1
1319fg "65280,65280,46080"
1320)
1321xt "36000,46000,53000,47000"
1322)
1323oxt "18000,68000,35000,69000"
1324text (MLText
1325uid 26,0
1326va (VaSet
1327fg "0,0,32768"
1328bg "0,0,32768"
1329)
1330xt "36200,46000,46200,47000"
1331st "
1332<enter diagram title here>
1333"
1334tm "CommentText"
1335wrapOption 3
1336visibleHeight 1000
1337visibleWidth 17000
1338)
1339position 1
1340ignorePrefs 1
1341titleBlock 1
1342)
1343*87 (CommentText
1344uid 27,0
1345shape (Rectangle
1346uid 28,0
1347sl 0
1348va (VaSet
1349vasetType 1
1350fg "65280,65280,46080"
1351)
1352xt "32000,46000,36000,47000"
1353)
1354oxt "14000,68000,18000,69000"
1355text (MLText
1356uid 29,0
1357va (VaSet
1358fg "0,0,32768"
1359bg "0,0,32768"
1360)
1361xt "32200,46000,34300,47000"
1362st "
1363Title:
1364"
1365tm "CommentText"
1366wrapOption 3
1367visibleHeight 1000
1368visibleWidth 4000
1369)
1370position 1
1371ignorePrefs 1
1372titleBlock 1
1373)
1374*88 (CommentText
1375uid 30,0
1376shape (Rectangle
1377uid 31,0
1378sl 0
1379va (VaSet
1380vasetType 1
1381fg "65280,65280,46080"
1382)
1383xt "53000,45000,73000,49000"
1384)
1385oxt "35000,67000,55000,71000"
1386text (MLText
1387uid 32,0
1388va (VaSet
1389fg "0,0,32768"
1390bg "0,0,32768"
1391)
1392xt "53200,45200,62400,46200"
1393st "
1394<enter comments here>
1395"
1396tm "CommentText"
1397wrapOption 3
1398visibleHeight 4000
1399visibleWidth 20000
1400)
1401ignorePrefs 1
1402titleBlock 1
1403)
1404*89 (CommentText
1405uid 33,0
1406shape (Rectangle
1407uid 34,0
1408sl 0
1409va (VaSet
1410vasetType 1
1411fg "65280,65280,46080"
1412)
1413xt "57000,44000,73000,45000"
1414)
1415oxt "39000,66000,55000,67000"
1416text (MLText
1417uid 35,0
1418va (VaSet
1419fg "0,0,32768"
1420bg "0,0,32768"
1421)
1422xt "57200,44000,61700,45000"
1423st "
1424%project_name
1425"
1426tm "CommentText"
1427wrapOption 3
1428visibleHeight 1000
1429visibleWidth 16000
1430)
1431position 1
1432ignorePrefs 1
1433titleBlock 1
1434)
1435*90 (CommentText
1436uid 36,0
1437shape (Rectangle
1438uid 37,0
1439sl 0
1440va (VaSet
1441vasetType 1
1442fg "65280,65280,46080"
1443)
1444xt "32000,44000,53000,46000"
1445)
1446oxt "14000,66000,35000,68000"
1447text (MLText
1448uid 38,0
1449va (VaSet
1450fg "32768,0,0"
1451)
1452xt "39150,44500,45850,45500"
1453st "
1454<company name>
1455"
1456ju 0
1457tm "CommentText"
1458wrapOption 3
1459visibleHeight 2000
1460visibleWidth 21000
1461)
1462position 1
1463ignorePrefs 1
1464titleBlock 1
1465)
1466*91 (CommentText
1467uid 39,0
1468shape (Rectangle
1469uid 40,0
1470sl 0
1471va (VaSet
1472vasetType 1
1473fg "65280,65280,46080"
1474)
1475xt "32000,47000,36000,48000"
1476)
1477oxt "14000,69000,18000,70000"
1478text (MLText
1479uid 41,0
1480va (VaSet
1481fg "0,0,32768"
1482bg "0,0,32768"
1483)
1484xt "32200,47000,34300,48000"
1485st "
1486Path:
1487"
1488tm "CommentText"
1489wrapOption 3
1490visibleHeight 1000
1491visibleWidth 4000
1492)
1493position 1
1494ignorePrefs 1
1495titleBlock 1
1496)
1497*92 (CommentText
1498uid 42,0
1499shape (Rectangle
1500uid 43,0
1501sl 0
1502va (VaSet
1503vasetType 1
1504fg "65280,65280,46080"
1505)
1506xt "32000,48000,36000,49000"
1507)
1508oxt "14000,70000,18000,71000"
1509text (MLText
1510uid 44,0
1511va (VaSet
1512fg "0,0,32768"
1513bg "0,0,32768"
1514)
1515xt "32200,48000,34900,49000"
1516st "
1517Edited:
1518"
1519tm "CommentText"
1520wrapOption 3
1521visibleHeight 1000
1522visibleWidth 4000
1523)
1524position 1
1525ignorePrefs 1
1526titleBlock 1
1527)
1528*93 (CommentText
1529uid 45,0
1530shape (Rectangle
1531uid 46,0
1532sl 0
1533va (VaSet
1534vasetType 1
1535fg "65280,65280,46080"
1536)
1537xt "36000,47000,53000,48000"
1538)
1539oxt "18000,69000,35000,70000"
1540text (MLText
1541uid 47,0
1542va (VaSet
1543fg "0,0,32768"
1544bg "0,0,32768"
1545)
1546xt "36200,47000,50600,48000"
1547st "
1548%library/%unit/%view
1549"
1550tm "CommentText"
1551wrapOption 3
1552visibleHeight 1000
1553visibleWidth 17000
1554)
1555position 1
1556ignorePrefs 1
1557titleBlock 1
1558)
1559]
1560shape (GroupingShape
1561uid 17,0
1562va (VaSet
1563vasetType 1
1564fg "65535,65535,65535"
1565lineStyle 2
1566lineWidth 2
1567)
1568xt "32000,44000,73000,49000"
1569)
1570oxt "14000,66000,55000,71000"
1571)
1572]
1573bg "65535,65535,65535"
1574grid (Grid
1575origin "0,0"
1576isVisible 1
1577isActive 1
1578xSpacing 1000
1579xySpacing 1000
1580xShown 1
1581yShown 1
1582color "26368,26368,26368"
1583)
1584packageList *94 (PackageList
1585uid 48,0
1586stg "VerticalLayoutStrategy"
1587textVec [
1588*95 (Text
1589uid 49,0
1590va (VaSet
1591font "arial,8,1"
1592)
1593xt "0,0,5400,1000"
1594st "Package List"
1595blo "0,800"
1596)
1597*96 (MLText
1598uid 50,0
1599va (VaSet
1600)
1601xt "0,1000,12400,5000"
1602st "LIBRARY ieee;
1603USE ieee.std_logic_1164.ALL;
1604USE ieee.std_logic_arith.ALL;
1605USE ieee.std_logic_unsigned.all;"
1606tm "PackageList"
1607)
1608]
1609)
1610windowSize "0,0,1015,690"
1611viewArea "0,0,0,0"
1612cachedDiagramExtent "0,0,0,0"
1613pageBreakOrigin "0,0"
1614defaultCommentText (CommentText
1615shape (Rectangle
1616layer 0
1617va (VaSet
1618vasetType 1
1619fg "65280,65280,46080"
1620lineColor "0,0,32768"
1621)
1622xt "0,0,15000,5000"
1623)
1624text (MLText
1625va (VaSet
1626fg "0,0,32768"
1627)
1628xt "200,200,2000,1200"
1629st "
1630Text
1631"
1632tm "CommentText"
1633wrapOption 3
1634visibleHeight 4600
1635visibleWidth 14600
1636)
1637)
1638defaultPanel (Panel
1639shape (RectFrame
1640va (VaSet
1641vasetType 1
1642fg "65535,65535,65535"
1643lineColor "32768,0,0"
1644lineWidth 3
1645)
1646xt "0,0,20000,20000"
1647)
1648title (TextAssociate
1649ps "TopLeftStrategy"
1650text (Text
1651va (VaSet
1652font "Arial,8,1"
1653)
1654xt "1000,1000,3800,2000"
1655st "Panel0"
1656blo "1000,1800"
1657tm "PanelText"
1658)
1659)
1660)
1661parentGraphicsRef (HdmGraphicsRef
1662libraryName "FACT_FAD_TB_lib"
1663entityName "spi_ltc2600_tb"
1664viewName "struct.bd"
1665)
1666defaultSymbolBody (SymbolBody
1667shape (Rectangle
1668va (VaSet
1669vasetType 1
1670fg "0,65535,0"
1671lineColor "0,32896,0"
1672lineWidth 2
1673)
1674xt "15000,6000,33000,26000"
1675)
1676biTextGroup (BiTextGroup
1677ps "CenterOffsetStrategy"
1678stg "VerticalLayoutStrategy"
1679first (Text
1680va (VaSet
1681font "Arial,8,1"
1682)
1683xt "22200,15000,25800,16000"
1684st "<library>"
1685blo "22200,15800"
1686)
1687second (Text
1688va (VaSet
1689font "Arial,8,1"
1690)
1691xt "22200,16000,24800,17000"
1692st "<cell>"
1693blo "22200,16800"
1694)
1695)
1696gi *97 (GenericInterface
1697ps "CenterOffsetStrategy"
1698matrix (Matrix
1699text (MLText
1700va (VaSet
1701font "Courier New,8,0"
1702)
1703xt "0,12000,11500,12800"
1704st "Generic Declarations"
1705)
1706header "Generic Declarations"
1707showHdrWhenContentsEmpty 1
1708)
1709elements [
1710]
1711)
1712portInstanceVisAsIs 1
1713portInstanceVis (PortSigDisplay
1714sIVOD 1
1715)
1716portVis (PortSigDisplay
1717sIVOD 1
1718)
1719)
1720defaultCptPort (CptPort
1721ps "OnEdgeStrategy"
1722shape (Triangle
1723ro 90
1724va (VaSet
1725vasetType 1
1726fg "0,65535,0"
1727)
1728xt "0,0,750,750"
1729)
1730tg (CPTG
1731ps "CptPortTextPlaceStrategy"
1732stg "VerticalLayoutStrategy"
1733f (Text
1734va (VaSet
1735)
1736xt "0,750,1400,1750"
1737st "In0"
1738blo "0,1550"
1739tm "CptPortNameMgr"
1740)
1741)
1742dt (MLText
1743va (VaSet
1744font "Courier New,8,0"
1745)
1746)
1747thePort (LogicalPort
1748decl (Decl
1749n "In0"
1750t "std_logic_vector"
1751b "(15 DOWNTO 0)"
1752o 0
1753)
1754)
1755)
1756defaultCptPortBuffer (CptPort
1757ps "OnEdgeStrategy"
1758shape (Diamond
1759va (VaSet
1760vasetType 1
1761fg "65535,65535,65535"
1762bg "0,0,0"
1763)
1764xt "0,0,750,750"
1765)
1766tg (CPTG
1767ps "CptPortTextPlaceStrategy"
1768stg "VerticalLayoutStrategy"
1769f (Text
1770va (VaSet
1771)
1772xt "0,750,2800,1750"
1773st "Buffer0"
1774blo "0,1550"
1775tm "CptPortNameMgr"
1776)
1777)
1778dt (MLText
1779va (VaSet
1780font "Courier New,8,0"
1781)
1782)
1783thePort (LogicalPort
1784m 3
1785decl (Decl
1786n "Buffer0"
1787t "std_logic_vector"
1788b "(15 DOWNTO 0)"
1789o 0
1790)
1791)
1792)
1793DeclarativeBlock *98 (SymDeclBlock
1794uid 1,0
1795stg "SymDeclLayoutStrategy"
1796declLabel (Text
1797uid 2,0
1798va (VaSet
1799font "Arial,8,1"
1800)
1801xt "42000,0,47400,1000"
1802st "Declarations"
1803blo "42000,800"
1804)
1805portLabel (Text
1806uid 3,0
1807va (VaSet
1808font "Arial,8,1"
1809)
1810xt "42000,1000,44700,2000"
1811st "Ports:"
1812blo "42000,1800"
1813)
1814externalLabel (Text
1815uid 4,0
1816va (VaSet
1817font "Arial,8,1"
1818)
1819xt "42000,10800,44400,11800"
1820st "User:"
1821blo "42000,11600"
1822)
1823internalLabel (Text
1824uid 6,0
1825va (VaSet
1826isHidden 1
1827font "Arial,8,1"
1828)
1829xt "42000,0,47800,1000"
1830st "Internal User:"
1831blo "42000,800"
1832)
1833externalText (MLText
1834uid 5,0
1835va (VaSet
1836font "Courier New,8,0"
1837)
1838xt "44000,11800,44000,11800"
1839tm "SyDeclarativeTextMgr"
1840)
1841internalText (MLText
1842uid 7,0
1843va (VaSet
1844isHidden 1
1845font "Courier New,8,0"
1846)
1847xt "42000,0,42000,0"
1848tm "SyDeclarativeTextMgr"
1849)
1850)
1851lastUid 377,0
1852activeModelName "Symbol:CDM"
1853)
Note: See TracBrowser for help on using the repository browser.