DocumentHdrVersion "1.1" Header (DocumentHdr version 2 dmPackageRefs [ (DmPackageRef library "ieee" unitName "std_logic_1164" itemName "ALL" ) (DmPackageRef library "ieee" unitName "std_logic_arith" itemName "ALL" ) (DmPackageRef library "ieee" unitName "std_logic_unsigned" ) (DmPackageRef library "FACT_FAD_lib" unitName "fad_definitions" ) ] instances [ (Instance name "U_0" duLibraryName "FACT_FAD_lib" duName "spi_max6662" elements [ ] mwi 0 uid 49,0 ) (Instance name "U_1" duLibraryName "FACT_FAD_TB_lib" duName "spi_max6662_tester" elements [ ] mwi 0 uid 131,0 ) (Instance name "U_2" duLibraryName "FACT_FAD_TB_lib" duName "clock_generator" elements [ (GiElement name "clock_period" type "time" value "20 ns" ) (GiElement name "reset_time" type "time" value "50 ns" ) ] mwi 0 uid 396,0 ) (Instance name "U_3" duLibraryName "FACT_FAD_TB_lib" duName "max6662_emulator" elements [ (GiElement name "DRS_TEMPERATURE" type "integer" value "51" ) ] mwi 0 uid 574,0 ) ] embeddedInstances [ (EmbeddedInstance name "eb1" number "1" ) ] libraryRefs [ "ieee" "FACT_FAD_lib" ] ) version "29.1" appVersion "2009.2 (Build 10)" noEmbeddedEditors 1 model (BlockDiag VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hdl" ) (vvPair variable "HDSDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" ) (vvPair variable "SideDataDesignDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb\\struct.bd.info" ) (vvPair variable "SideDataUserDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb\\struct.bd.user" ) (vvPair variable "SourceDir" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds" ) (vvPair variable "appl" value "HDL Designer" ) (vvPair variable "arch_name" value "struct" ) (vvPair variable "config" value "%(unit)_%(view)_config" ) (vvPair variable "d" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb" ) (vvPair variable "d_logical" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb" ) (vvPair variable "date" value "03.02.2011" ) (vvPair variable "day" value "Do" ) (vvPair variable "day_long" value "Donnerstag" ) (vvPair variable "dd" value "03" ) (vvPair variable "entity_name" value "spi_max6662_tb" ) (vvPair variable "ext" value "" ) (vvPair variable "f" value "struct.bd" ) (vvPair variable "f_logical" value "struct.bd" ) (vvPair variable "f_noext" value "struct" ) (vvPair variable "group" value "UNKNOWN" ) (vvPair variable "host" value "E5B-LABOR6" ) (vvPair variable "language" value "VHDL" ) (vvPair variable "library" value "FACT_FAD_TB_lib" ) (vvPair variable "library_downstream_ISEPARInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" ) (vvPair variable "library_downstream_ImpactInvoke" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" ) (vvPair variable "library_downstream_ModelSimCompiler" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/work" ) (vvPair variable "library_downstream_XSTDataPrep" value "$HDS_PROJECT_DIR/FACT_FAD_TB_lib/ise" ) (vvPair variable "mm" value "02" ) (vvPair variable "module_name" value "spi_max6662_tb" ) (vvPair variable "month" value "Feb" ) (vvPair variable "month_long" value "Februar" ) (vvPair variable "p" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb\\struct.bd" ) (vvPair variable "p_logical" value "C:\\fact.isdc.unige.ch_svn_firmware\\FAD\\FACT_FAD_20MHz_VAR_PS\\FACT_FAD_TB_lib\\hds\\spi_max6662_tb\\struct.bd" ) (vvPair variable "package_name" value "" ) (vvPair variable "project_name" value "FACT_FAD" ) (vvPair variable "series" value "HDL Designer Series" ) (vvPair variable "task_DesignCompilerPath" value "" ) (vvPair variable "task_LeonardoPath" value "" ) (vvPair variable "task_ModelSimPath" value "C:\\modeltech_6.6a\\win32" ) (vvPair variable "task_NC-SimPath" value "" ) (vvPair variable "task_PrecisionRTLPath" value "" ) (vvPair variable "task_QuestaSimPath" value "" ) (vvPair variable "task_VCSPath" value "" ) (vvPair variable "this_ext" value "bd" ) (vvPair variable "this_file" value "struct" ) (vvPair variable "this_file_logical" value "struct" ) (vvPair variable "time" value "18:29:41" ) (vvPair variable "unit" value "spi_max6662_tb" ) (vvPair variable "user" value "dneise" ) (vvPair variable "version" value "2009.2 (Build 10)" ) (vvPair variable "view" value "struct" ) (vvPair variable "year" value "2011" ) (vvPair variable "yy" value "11" ) ] ) LanguageMgr "VhdlLangMgr" uid 274,0 optionalChildren [ *1 (SaComponent uid 49,0 optionalChildren [ *2 (CptPort uid 13,0 ps "OnEdgeStrategy" shape (Triangle uid 14,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "-750,14625,0,15375" ) tg (CPTG uid 15,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 16,0 va (VaSet ) xt "1000,14500,2300,15500" st "clk" blo "1000,15300" ) ) thePort (LogicalPort decl (Decl n "clk" t "std_logic" o 1 ) ) ) *3 (CptPort uid 17,0 ps "OnEdgeStrategy" shape (Triangle uid 18,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "16000,14625,16750,15375" ) tg (CPTG uid 19,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 20,0 va (VaSet ) xt "12600,14500,15000,15500" st "SCLK" ju 2 blo "15000,15300" ) ) thePort (LogicalPort m 1 decl (Decl n "SCLK" t "std_logic" o 2 i "'0'" ) ) ) *4 (CptPort uid 21,0 ps "OnEdgeStrategy" shape (Triangle uid 22,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "16000,15625,16750,16375" ) tg (CPTG uid 23,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 24,0 va (VaSet ) xt "13500,15500,15000,16500" st "CS" ju 2 blo "15000,16300" ) ) thePort (LogicalPort m 1 decl (Decl n "CS" t "std_logic" o 3 i "'1'" ) ) ) *5 (CptPort uid 25,0 ps "OnEdgeStrategy" shape (Triangle uid 26,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "16000,16625,16750,17375" ) tg (CPTG uid 27,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 28,0 va (VaSet ) xt "12600,16500,15000,17500" st "MOSI" ju 2 blo "15000,17300" ) ) thePort (LogicalPort m 1 decl (Decl n "MOSI" t "std_logic" o 4 i "'0'" ) ) ) *6 (CptPort uid 29,0 ps "OnEdgeStrategy" shape (Diamond uid 30,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "16000,17625,16750,18375" ) tg (CPTG uid 31,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 32,0 va (VaSet ) xt "12600,17500,15000,18500" st "MISO" ju 2 blo "15000,18300" ) ) thePort (LogicalPort m 2 decl (Decl n "MISO" t "std_logic" o 5 i "'Z'" ) ) ) *7 (CptPort uid 33,0 ps "OnEdgeStrategy" shape (Triangle uid 34,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "-750,15625,0,16375" ) tg (CPTG uid 35,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 36,0 va (VaSet ) xt "1000,15500,8400,16500" st "read_temp_register" blo "1000,16300" ) ) thePort (LogicalPort decl (Decl n "read_temp_register" t "std_logic" o 6 ) ) ) *8 (CptPort uid 37,0 ps "OnEdgeStrategy" shape (Triangle uid 38,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "16000,18625,16750,19375" ) tg (CPTG uid 39,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 40,0 va (VaSet ) xt "10200,18500,15000,19500" st "data : (15:0)" ju 2 blo "15000,19300" ) ) thePort (LogicalPort m 1 decl (Decl n "data" t "std_logic_vector" b "(15 downto 0)" o 7 i "(others => '0')" ) ) ) *9 (CptPort uid 41,0 ps "OnEdgeStrategy" shape (Triangle uid 42,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "16000,19625,16750,20375" ) tg (CPTG uid 43,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 44,0 va (VaSet ) xt "12800,19500,15000,20500" st "ready" ju 2 blo "15000,20300" ) ) thePort (LogicalPort m 1 decl (Decl n "ready" t "std_logic" o 8 i "'0'" ) ) ) *10 (CptPort uid 45,0 ps "OnEdgeStrategy" shape (Triangle uid 46,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "16000,20625,16750,21375" ) tg (CPTG uid 47,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 48,0 va (VaSet ) xt "13100,20500,15000,21500" st "busy" ju 2 blo "15000,21300" ) ) thePort (LogicalPort m 1 decl (Decl n "busy" t "std_logic" o 9 i "'1'" ) ) ) ] shape (Rectangle uid 50,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,14000,16000,22000" ) ttg (MlTextGroup uid 51,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *11 (Text uid 52,0 va (VaSet font "Arial,8,1" ) xt "4900,22000,11100,23000" st "FACT_FAD_lib" blo "4900,22800" tm "BdLibraryNameMgr" ) *12 (Text uid 53,0 va (VaSet font "Arial,8,1" ) xt "4900,23000,10300,24000" st "spi_max6662" blo "4900,23800" tm "CptNameMgr" ) *13 (Text uid 54,0 va (VaSet font "Arial,8,1" ) xt "4900,24000,6700,25000" st "U_0" blo "4900,24800" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 55,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 56,0 text (MLText uid 57,0 va (VaSet font "Courier New,8,0" ) xt "0,12400,20000,14000" ) header "" ) elements [ ] ) viewicon (ZoomableIcon uid 58,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "250,20250,1750,21750" iconName "VhdlFileViewIcon.png" iconMaskName "VhdlFileViewIcon.msk" ftype 10 ) ordering 1 viewiconposition 0 portVis (PortSigDisplay ) archType 1 archFileType "UNKNOWN" ) *14 (Net uid 59,0 lang 10 decl (Decl n "SCLK" t "std_logic" o 1 suid 1,0 ) declText (MLText uid 60,0 va (VaSet font "Courier New,8,0" ) xt "22000,4600,42000,5400" st "SIGNAL SCLK : std_logic" ) ) *15 (Net uid 67,0 lang 10 decl (Decl n "CS" t "std_logic" o 2 suid 2,0 ) declText (MLText uid 68,0 va (VaSet font "Courier New,8,0" ) xt "22000,3000,42000,3800" st "SIGNAL CS : std_logic" ) ) *16 (Net uid 75,0 decl (Decl n "MOSI" t "std_logic" o 3 suid 3,0 i "'0'" ) declText (MLText uid 76,0 va (VaSet font "Courier New,8,0" ) xt "22000,3800,46000,4600" st "SIGNAL MOSI : std_logic := '0'" ) ) *17 (Net uid 91,0 lang 10 decl (Decl n "data" t "std_logic_vector" b "(15 DOWNTO 0)" o 5 suid 5,0 ) declText (MLText uid 92,0 va (VaSet font "Courier New,8,0" ) xt "22000,7000,52500,7800" st "SIGNAL data : std_logic_vector(15 DOWNTO 0)" ) ) *18 (Net uid 99,0 lang 10 decl (Decl n "ready" t "std_logic" o 6 suid 6,0 ) declText (MLText uid 100,0 va (VaSet font "Courier New,8,0" ) xt "22000,8600,42000,9400" st "SIGNAL ready : std_logic" ) ) *19 (Net uid 107,0 lang 10 decl (Decl n "busy" t "std_logic" o 7 suid 7,0 ) declText (MLText uid 108,0 va (VaSet font "Courier New,8,0" ) xt "22000,5400,42000,6200" st "SIGNAL busy : std_logic" ) ) *20 (Net uid 115,0 decl (Decl n "clk" t "std_logic" o 8 suid 8,0 ) declText (MLText uid 116,0 va (VaSet font "Courier New,8,0" ) xt "22000,6200,42000,7000" st "SIGNAL clk : std_logic" ) ) *21 (Net uid 123,0 decl (Decl n "read_temp_register" t "std_logic" o 9 suid 9,0 ) declText (MLText uid 124,0 va (VaSet font "Courier New,8,0" ) xt "22000,7800,42000,8600" st "SIGNAL read_temp_register : std_logic" ) ) *22 (Blk uid 131,0 shape (Rectangle uid 132,0 va (VaSet vasetType 1 fg "39936,56832,65280" lineColor "0,0,32768" lineWidth 2 ) xt "38000,12000,54000,20000" ) ttg (MlTextGroup uid 133,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *23 (Text uid 134,0 va (VaSet font "Arial,8,1" ) xt "42150,14500,49850,15500" st "FACT_FAD_TB_lib" blo "42150,15300" tm "BdLibraryNameMgr" ) *24 (Text uid 135,0 va (VaSet font "Arial,8,1" ) xt "42150,15500,50050,16500" st "spi_max6662_tester" blo "42150,16300" tm "BlkNameMgr" ) *25 (Text uid 136,0 va (VaSet font "Arial,8,1" ) xt "42150,16500,43950,17500" st "U_1" blo "42150,17300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 137,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 138,0 text (MLText uid 139,0 va (VaSet font "Courier New,8,0" ) xt "42150,24500,42150,24500" ) header "" ) elements [ ] ) viewicon (ZoomableIcon uid 140,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "38250,18250,39750,19750" iconName "VhdlFileViewIcon.png" iconMaskName "VhdlFileViewIcon.msk" ftype 10 ) ordering 1 viewiconposition 0 blkPorts [ "CS" "MOSI" "SCLK" "busy" "data" "ready" "read_temp_register" ] ) *26 (Grouping uid 213,0 optionalChildren [ *27 (CommentText uid 215,0 shape (Rectangle uid 216,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "25000,48000,42000,49000" ) oxt "18000,70000,35000,71000" text (MLText uid 217,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "25200,48000,34800,49000" st " by %user on %dd %month %year " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *28 (CommentText uid 218,0 shape (Rectangle uid 219,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "42000,44000,46000,45000" ) oxt "35000,66000,39000,67000" text (MLText uid 220,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "42200,44000,45200,45000" st " Project: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *29 (CommentText uid 221,0 shape (Rectangle uid 222,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "25000,46000,42000,47000" ) oxt "18000,68000,35000,69000" text (MLText uid 223,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "25200,46000,35200,47000" st " " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) *30 (CommentText uid 224,0 shape (Rectangle uid 225,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "21000,46000,25000,47000" ) oxt "14000,68000,18000,69000" text (MLText uid 226,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "21200,46000,23300,47000" st " Title: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *31 (CommentText uid 227,0 shape (Rectangle uid 228,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "42000,45000,62000,49000" ) oxt "35000,67000,55000,71000" text (MLText uid 229,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "42200,45200,51400,46200" st " " tm "CommentText" wrapOption 3 visibleHeight 4000 visibleWidth 20000 ) ignorePrefs 1 titleBlock 1 ) *32 (CommentText uid 230,0 shape (Rectangle uid 231,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "46000,44000,62000,45000" ) oxt "39000,66000,55000,67000" text (MLText uid 232,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "46200,44000,50700,45000" st " %project_name " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 16000 ) position 1 ignorePrefs 1 titleBlock 1 ) *33 (CommentText uid 233,0 shape (Rectangle uid 234,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "21000,44000,42000,46000" ) oxt "14000,66000,35000,68000" text (MLText uid 235,0 va (VaSet fg "32768,0,0" ) xt "28150,44500,34850,45500" st " " ju 0 tm "CommentText" wrapOption 3 visibleHeight 2000 visibleWidth 21000 ) position 1 ignorePrefs 1 titleBlock 1 ) *34 (CommentText uid 236,0 shape (Rectangle uid 237,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "21000,47000,25000,48000" ) oxt "14000,69000,18000,70000" text (MLText uid 238,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "21200,47000,23300,48000" st " Path: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *35 (CommentText uid 239,0 shape (Rectangle uid 240,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "21000,48000,25000,49000" ) oxt "14000,70000,18000,71000" text (MLText uid 241,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "21200,48000,23900,49000" st " Edited: " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 4000 ) position 1 ignorePrefs 1 titleBlock 1 ) *36 (CommentText uid 242,0 shape (Rectangle uid 243,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "25000,47000,42000,48000" ) oxt "18000,69000,35000,70000" text (MLText uid 244,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "25200,47000,40700,48000" st " %library/%unit/%view " tm "CommentText" wrapOption 3 visibleHeight 1000 visibleWidth 17000 ) position 1 ignorePrefs 1 titleBlock 1 ) ] shape (GroupingShape uid 214,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineStyle 2 lineWidth 2 ) xt "21000,44000,62000,49000" ) oxt "14000,66000,55000,71000" ) *37 (SaComponent uid 396,0 optionalChildren [ *38 (CptPort uid 385,0 ps "OnEdgeStrategy" shape (Triangle uid 386,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "4000,35625,4750,36375" ) tg (CPTG uid 387,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 388,0 va (VaSet ) xt "1700,35500,3000,36500" st "clk" ju 2 blo "3000,36300" ) ) thePort (LogicalPort m 1 decl (Decl n "clk" t "std_logic" preAdd 0 posAdd 0 o 1 suid 1,0 i "'0'" ) ) ) *39 (CptPort uid 389,0 ps "OnEdgeStrategy" shape (Triangle uid 390,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "4000,36625,4750,37375" ) tg (CPTG uid 391,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 392,0 va (VaSet ) xt "1700,36500,3000,37500" st "rst" ju 2 blo "3000,37300" ) ) thePort (LogicalPort m 1 decl (Decl n "rst" t "std_logic" preAdd 0 posAdd 0 o 2 suid 2,0 i "'0'" ) ) ) *40 (CommentText uid 393,0 ps "EdgeToEdgeStrategy" shape (Rectangle uid 394,0 layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "-8000,43000,7000,47000" ) oxt "21500,4000,36500,8000" text (MLText uid 395,0 va (VaSet fg "0,0,32768" ) xt "-7800,43200,2000,44200" st " -- synthesis translate_off " tm "CommentText" wrapOption 3 visibleHeight 4000 visibleWidth 15000 ) included 1 excludeCommentLeader 1 ) ] shape (Rectangle uid 397,0 va (VaSet vasetType 1 fg "0,49152,49152" lineColor "0,0,50000" lineWidth 2 ) xt "-4000,35000,4000,39000" ) oxt "22000,15000,30000,19000" ttg (MlTextGroup uid 398,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *41 (Text uid 399,0 va (VaSet font "Arial,8,1" ) xt "-3850,39000,3850,40000" st "FACT_FAD_TB_lib" blo "-3850,39800" tm "BdLibraryNameMgr" ) *42 (Text uid 400,0 va (VaSet font "Arial,8,1" ) xt "-3850,40000,2850,41000" st "clock_generator" blo "-3850,40800" tm "CptNameMgr" ) *43 (Text uid 401,0 va (VaSet font "Arial,8,1" ) xt "-3850,41000,-2050,42000" st "U_2" blo "-3850,41800" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 402,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 403,0 text (MLText uid 404,0 va (VaSet font "Courier New,8,0" ) xt "-7000,33400,11500,35000" st "clock_period = 20 ns ( time ) reset_time = 50 ns ( time ) " ) header "" ) elements [ (GiElement name "clock_period" type "time" value "20 ns" ) (GiElement name "reset_time" type "time" value "50 ns" ) ] ) viewicon (ZoomableIcon uid 405,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "-3750,37250,-2250,38750" iconName "VhdlFileViewIcon.png" iconMaskName "VhdlFileViewIcon.msk" ftype 10 ) ordering 1 viewiconposition 0 portVis (PortSigDisplay ) archFileType "UNKNOWN" ) *44 (SaComponent uid 574,0 optionalChildren [ *45 (CptPort uid 562,0 ps "OnEdgeStrategy" shape (Triangle uid 563,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "32250,31625,33000,32375" ) tg (CPTG uid 564,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 565,0 va (VaSet ) xt "34000,31500,35700,32500" st "sclk" blo "34000,32300" ) ) thePort (LogicalPort decl (Decl n "sclk" t "std_logic" preAdd 0 posAdd 0 o 1 suid 1,0 ) ) ) *46 (CptPort uid 566,0 ps "OnEdgeStrategy" shape (Diamond uid 567,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "43000,31625,43750,32375" ) tg (CPTG uid 568,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 569,0 va (VaSet ) xt "40600,31500,42000,32500" st "sio" ju 2 blo "42000,32300" ) ) thePort (LogicalPort m 2 decl (Decl n "sio" t "std_logic" preAdd 0 posAdd 0 o 2 suid 2,0 ) ) ) *47 (CptPort uid 570,0 ps "OnEdgeStrategy" shape (Triangle uid 571,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "32250,34625,33000,35375" ) tg (CPTG uid 572,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 573,0 va (VaSet ) xt "34000,34500,40500,35500" st "sensor_cs : (3:0)" blo "34000,35300" ) ) thePort (LogicalPort decl (Decl n "sensor_cs" t "std_logic_vector" b "(3 downto 0)" preAdd 0 posAdd 0 o 3 suid 3,0 ) ) ) ] shape (Rectangle uid 575,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "33000,30000,43000,40000" ) oxt "30000,3000,40000,13000" ttg (MlTextGroup uid 576,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *48 (Text uid 577,0 va (VaSet font "Arial,8,1" ) xt "34200,35000,41900,36000" st "FACT_FAD_TB_lib" blo "34200,35800" tm "BdLibraryNameMgr" ) *49 (Text uid 578,0 va (VaSet font "Arial,8,1" ) xt "34200,36000,41800,37000" st "max6662_emulator" blo "34200,36800" tm "CptNameMgr" ) *50 (Text uid 579,0 va (VaSet font "Arial,8,1" ) xt "34200,37000,36000,38000" st "U_3" blo "34200,37800" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 580,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 581,0 text (MLText uid 582,0 va (VaSet font "Courier New,8,0" ) xt "33000,28200,53000,29000" st "DRS_TEMPERATURE = 51 ( integer ) " ) header "" ) elements [ (GiElement name "DRS_TEMPERATURE" type "integer" value "51" ) ] ) viewicon (ZoomableIcon uid 583,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "33250,38250,34750,39750" iconName "VhdlFileViewIcon.png" iconMaskName "VhdlFileViewIcon.msk" ftype 10 ) ordering 1 viewiconposition 0 portVis (PortSigDisplay sIVOD 1 ) archFileType "UNKNOWN" ) *51 (Net uid 584,0 decl (Decl n "sensor_cs" t "std_logic_vector" b "(3 downto 0)" preAdd 0 posAdd 0 o 10 suid 10,0 ) declText (MLText uid 585,0 va (VaSet font "Courier New,8,0" ) xt "22000,9400,52000,10200" st "SIGNAL sensor_cs : std_logic_vector(3 downto 0)" ) ) *52 (HdlText uid 592,0 optionalChildren [ *53 (EmbeddedText uid 598,0 commentText (CommentText uid 599,0 ps "CenterOffsetStrategy" shape (Rectangle uid 600,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "1000,30000,19000,35000" ) oxt "0,0,18000,5000" text (MLText uid 601,0 va (VaSet ) xt "1200,30200,18700,32200" st " sensor_cs <= (CS,CS,CS,CS); " tm "HdlTextMgr" wrapOption 3 visibleHeight 4600 visibleWidth 17600 ) ) ) ] shape (Rectangle uid 593,0 va (VaSet vasetType 1 fg "65535,65535,37120" lineColor "0,0,32768" lineWidth 2 ) xt "6000,26000,9000,30000" ) oxt "0,0,8000,10000" ttg (MlTextGroup uid 594,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *54 (Text uid 595,0 va (VaSet font "Arial,8,1" ) xt "7150,27000,8850,28000" st "eb1" blo "7150,27800" tm "HdlTextNameMgr" ) *55 (Text uid 596,0 va (VaSet font "Arial,8,1" ) xt "7150,28000,7950,29000" st "1" blo "7150,28800" tm "HdlTextNumberMgr" ) ] ) viewicon (ZoomableIcon uid 597,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "6250,28250,7750,29750" iconName "TextFile.png" iconMaskName "TextFile.msk" ftype 21 ) viewiconposition 0 ) *56 (Net uid 749,0 decl (Decl n "sio" t "std_logic" preAdd 0 posAdd 0 o 10 suid 14,0 ) declText (MLText uid 750,0 va (VaSet font "Courier New,8,0" ) xt "22000,10200,42000,11000" st "SIGNAL sio : std_logic" ) ) *57 (Wire uid 61,0 shape (OrthoPolyLine uid 62,0 va (VaSet vasetType 3 ) xt "16750,15000,32250,32000" pts [ "16750,15000" "20000,15000" "20000,32000" "32250,32000" ] ) start &3 end &45 sat 32 eat 32 st 0 sf 1 si 0 tg (WTG uid 65,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 66,0 va (VaSet ) xt "18750,14000,21150,15000" st "SCLK" blo "18750,14800" tm "WireNameMgr" ) ) on &14 ) *58 (Wire uid 69,0 shape (OrthoPolyLine uid 70,0 va (VaSet vasetType 3 ) xt "16750,16000,24000,16000" pts [ "16750,16000" "24000,16000" ] ) start &4 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 73,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 74,0 va (VaSet ) xt "18000,15000,19500,16000" st "CS" blo "18000,15800" tm "WireNameMgr" ) ) on &15 ) *59 (Wire uid 77,0 shape (OrthoPolyLine uid 78,0 va (VaSet vasetType 3 ) xt "16750,17000,24000,17000" pts [ "16750,17000" "24000,17000" ] ) start &5 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 81,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 82,0 va (VaSet ) xt "18000,16000,20400,17000" st "MOSI" blo "18000,16800" tm "WireNameMgr" ) ) on &16 ) *60 (Wire uid 93,0 shape (OrthoPolyLine uid 94,0 va (VaSet vasetType 3 lineWidth 2 ) xt "16750,19000,24000,19000" pts [ "16750,19000" "24000,19000" ] ) start &8 sat 32 eat 16 sty 1 st 0 sf 1 si 0 tg (WTG uid 97,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 98,0 va (VaSet ) xt "18000,18000,22800,19000" st "data : (15:0)" blo "18000,18800" tm "WireNameMgr" ) ) on &17 ) *61 (Wire uid 101,0 shape (OrthoPolyLine uid 102,0 va (VaSet vasetType 3 ) xt "16750,20000,24000,20000" pts [ "16750,20000" "24000,20000" ] ) start &9 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 105,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 106,0 va (VaSet ) xt "18000,19000,20200,20000" st "ready" blo "18000,19800" tm "WireNameMgr" ) ) on &18 ) *62 (Wire uid 109,0 shape (OrthoPolyLine uid 110,0 va (VaSet vasetType 3 ) xt "16750,21000,24000,21000" pts [ "16750,21000" "24000,21000" ] ) start &10 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 113,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 114,0 va (VaSet ) xt "18000,20000,19900,21000" st "busy" blo "18000,20800" tm "WireNameMgr" ) ) on &19 ) *63 (Wire uid 117,0 shape (OrthoPolyLine uid 118,0 va (VaSet vasetType 3 ) xt "-10000,15000,-750,15000" pts [ "-10000,15000" "-750,15000" ] ) end &2 sat 16 eat 32 st 0 sf 1 si 0 tg (WTG uid 121,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 122,0 va (VaSet ) xt "-9000,14000,-7700,15000" st "clk" blo "-9000,14800" tm "WireNameMgr" ) ) on &20 ) *64 (Wire uid 125,0 shape (OrthoPolyLine uid 126,0 va (VaSet vasetType 3 ) xt "-10000,16000,-750,16000" pts [ "-10000,16000" "-750,16000" ] ) end &7 sat 16 eat 32 st 0 sf 1 si 0 tg (WTG uid 129,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 130,0 va (VaSet ) xt "-9000,15000,-1600,16000" st "read_temp_register" blo "-9000,15800" tm "WireNameMgr" ) ) on &21 ) *65 (Wire uid 141,0 shape (OrthoPolyLine uid 142,0 va (VaSet vasetType 3 lineWidth 2 ) xt "54000,17000,62000,17000" pts [ "54000,17000" "62000,17000" ] ) start &22 sat 1 eat 16 sty 1 st 0 sf 1 si 0 tg (WTG uid 147,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 148,0 va (VaSet ) xt "56000,16000,60800,17000" st "data : (15:0)" blo "56000,16800" tm "WireNameMgr" ) ) on &17 ) *66 (Wire uid 149,0 shape (OrthoPolyLine uid 150,0 va (VaSet vasetType 3 ) xt "54000,19000,62000,19000" pts [ "54000,19000" "62000,19000" ] ) start &22 sat 1 eat 16 st 0 sf 1 si 0 tg (WTG uid 155,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 156,0 va (VaSet ) xt "55000,18000,56900,19000" st "busy" blo "55000,18800" tm "WireNameMgr" ) ) on &19 ) *67 (Wire uid 157,0 shape (OrthoPolyLine uid 158,0 va (VaSet vasetType 3 ) xt "54000,18000,62000,18000" pts [ "54000,18000" "62000,18000" ] ) start &22 sat 1 eat 16 st 0 sf 1 si 0 tg (WTG uid 163,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 164,0 va (VaSet ) xt "55000,17000,57200,18000" st "ready" blo "55000,17800" tm "WireNameMgr" ) ) on &18 ) *68 (Wire uid 165,0 shape (OrthoPolyLine uid 166,0 va (VaSet vasetType 3 ) xt "54000,14000,62000,14000" pts [ "54000,14000" "62000,14000" ] ) start &22 sat 1 eat 16 st 0 sf 1 si 0 tg (WTG uid 171,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 172,0 va (VaSet ) xt "55000,13000,56500,14000" st "CS" blo "55000,13800" tm "WireNameMgr" ) ) on &15 ) *69 (Wire uid 173,0 shape (OrthoPolyLine uid 174,0 va (VaSet vasetType 3 ) xt "54000,13000,62000,13000" pts [ "54000,13000" "62000,13000" ] ) start &22 sat 1 eat 16 st 0 sf 1 si 0 tg (WTG uid 179,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 180,0 va (VaSet ) xt "55000,12000,57400,13000" st "SCLK" blo "55000,12800" tm "WireNameMgr" ) ) on &14 ) *70 (Wire uid 205,0 shape (OrthoPolyLine uid 206,0 va (VaSet vasetType 3 ) xt "28000,14000,38000,14000" pts [ "28000,14000" "38000,14000" ] ) end &22 sat 16 eat 2 st 0 sf 1 si 0 tg (WTG uid 211,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 212,0 va (VaSet ) xt "30000,13000,37400,14000" st "read_temp_register" blo "30000,13800" tm "WireNameMgr" ) ) on &21 ) *71 (Wire uid 406,0 shape (OrthoPolyLine uid 407,0 va (VaSet vasetType 3 ) xt "4750,36000,8000,36000" pts [ "4750,36000" "8000,36000" ] ) start &38 sat 32 eat 16 st 0 sf 1 si 0 tg (WTG uid 410,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 411,0 va (VaSet ) xt "6000,35000,7300,36000" st "clk" blo "6000,35800" tm "WireNameMgr" ) ) on &20 ) *72 (Wire uid 602,0 shape (OrthoPolyLine uid 603,0 va (VaSet vasetType 3 ) xt "4000,27000,6000,27000" pts [ "4000,27000" "6000,27000" ] ) end &52 sat 16 eat 1 st 0 sf 1 si 0 tg (WTG uid 608,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 609,0 va (VaSet ) xt "6000,26000,7500,27000" st "CS" blo "6000,26800" tm "WireNameMgr" ) ) on &15 ) *73 (Wire uid 626,0 shape (OrthoPolyLine uid 627,0 va (VaSet vasetType 3 lineWidth 2 ) xt "9000,27000,32250,35000" pts [ "32250,35000" "19000,35000" "19000,27000" "9000,27000" ] ) start &47 end &52 sat 32 eat 2 sty 1 stc 0 st 0 sf 1 si 0 tg (WTG uid 630,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 631,0 ro 270 va (VaSet ) xt "23000,30100,24000,34000" st "sensor_cs" blo "23800,34000" tm "WireNameMgr" ) ) on &51 ) *74 (Wire uid 644,0 shape (OrthoPolyLine uid 645,0 va (VaSet vasetType 3 ) xt "28000,15000,38000,15000" pts [ "28000,15000" "38000,15000" ] ) end &22 sat 16 eat 1 st 0 sf 1 si 0 tg (WTG uid 650,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 651,0 va (VaSet ) xt "30000,14000,32400,15000" st "MOSI" blo "30000,14800" tm "WireNameMgr" ) ) on &16 ) *75 (Wire uid 751,0 shape (OrthoPolyLine uid 752,0 va (VaSet vasetType 3 ) xt "16750,18000,45000,32000" pts [ "43750,32000" "44000,32000" "44000,29000" "45000,29000" "45000,23000" "32000,23000" "32000,18000" "16750,18000" ] ) start &46 end &6 sat 32 eat 32 st 0 sf 1 tg (WTG uid 755,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 756,0 va (VaSet ) xt "45750,31000,47150,32000" st "sio" blo "45750,31800" tm "WireNameMgr" ) ) on &56 ) ] bg "65535,65535,65535" grid (Grid origin "0,0" isVisible 1 isActive 1 xSpacing 1000 xySpacing 1000 xShown 1 yShown 1 color "26368,26368,26368" ) packageList *76 (PackageList uid 263,0 stg "VerticalLayoutStrategy" textVec [ *77 (Text uid 264,0 va (VaSet font "arial,8,1" ) xt "0,0,5400,1000" st "Package List" blo "0,800" ) *78 (MLText uid 265,0 va (VaSet ) xt "0,1000,14500,7000" st "LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.all; LIBRARY FACT_FAD_lib; USE FACT_FAD_lib.fad_definitions.all;" tm "PackageList" ) ] ) compDirBlock (MlTextGroup uid 266,0 stg "VerticalLayoutStrategy" textVec [ *79 (Text uid 267,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,0,28100,1000" st "Compiler Directives" blo "20000,800" ) *80 (Text uid 268,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,1000,29600,2000" st "Pre-module directives:" blo "20000,1800" ) *81 (MLText uid 269,0 va (VaSet isHidden 1 ) xt "20000,2000,27500,4000" st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) *82 (Text uid 270,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,4000,30100,5000" st "Post-module directives:" blo "20000,4800" ) *83 (MLText uid 271,0 va (VaSet isHidden 1 ) xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) *84 (Text uid 272,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,5000,29900,6000" st "End-module directives:" blo "20000,5800" ) *85 (MLText uid 273,0 va (VaSet isHidden 1 ) xt "20000,6000,20000,6000" tm "BdCompilerDirectivesTextMgr" ) ] associable 1 ) windowSize "0,22,1281,1024" viewArea "2648,6530,64186,56004" cachedDiagramExtent "-10400,0,62400,49000" hasePageBreakOrigin 1 pageBreakOrigin "-11000,0" lastUid 926,0 defaultCommentText (CommentText shape (Rectangle layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,0,15000,5000" ) text (MLText va (VaSet fg "0,0,32768" ) xt "200,200,2000,1200" st " Text " tm "CommentText" wrapOption 3 visibleHeight 4600 visibleWidth 14600 ) ) defaultPanel (Panel shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "32768,0,0" lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (Text va (VaSet font "Arial,8,1" ) xt "1000,1000,3800,2000" st "Panel0" blo "1000,1800" tm "PanelText" ) ) ) defaultBlk (Blk shape (Rectangle va (VaSet vasetType 1 fg "39936,56832,65280" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *86 (Text va (VaSet font "Arial,8,1" ) xt "2200,3500,5800,4500" st "" blo "2200,4300" tm "BdLibraryNameMgr" ) *87 (Text va (VaSet font "Arial,8,1" ) xt "2200,4500,5600,5500" st "" blo "2200,5300" tm "BlkNameMgr" ) *88 (Text va (VaSet font "Arial,8,1" ) xt "2200,5500,4000,6500" st "U_0" blo "2200,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "2200,13500,2200,13500" ) header "" ) elements [ ] ) viewicon (ZoomableIcon sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "0,0,1500,1500" iconName "UnknownFile.png" iconMaskName "UnknownFile.msk" ) viewiconposition 0 ) defaultMWComponent (MWC shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *89 (Text va (VaSet font "Arial,8,1" ) xt "550,3500,3450,4500" st "Library" blo "550,4300" ) *90 (Text va (VaSet font "Arial,8,1" ) xt "550,4500,7450,5500" st "MWComponent" blo "550,5300" ) *91 (Text va (VaSet font "Arial,8,1" ) xt "550,5500,2350,6500" st "U_0" blo "550,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "-6450,1500,-6450,1500" ) header "" ) elements [ ] ) portVis (PortSigDisplay ) prms (Property pclass "params" pname "params" ptn "String" ) visOptions (mwParamsVisibilityOptions ) ) defaultSaComponent (SaComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *92 (Text va (VaSet font "Arial,8,1" ) xt "900,3500,3800,4500" st "Library" blo "900,4300" tm "BdLibraryNameMgr" ) *93 (Text va (VaSet font "Arial,8,1" ) xt "900,4500,7100,5500" st "SaComponent" blo "900,5300" tm "CptNameMgr" ) *94 (Text va (VaSet font "Arial,8,1" ) xt "900,5500,2700,6500" st "U_0" blo "900,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "-6100,1500,-6100,1500" ) header "" ) elements [ ] ) viewicon (ZoomableIcon sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "0,0,1500,1500" iconName "UnknownFile.png" iconMaskName "UnknownFile.msk" ) viewiconposition 0 portVis (PortSigDisplay ) archFileType "UNKNOWN" ) defaultVhdlComponent (VhdlComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *95 (Text va (VaSet font "Arial,8,1" ) xt "500,3500,3400,4500" st "Library" blo "500,4300" ) *96 (Text va (VaSet font "Arial,8,1" ) xt "500,4500,7500,5500" st "VhdlComponent" blo "500,5300" ) *97 (Text va (VaSet font "Arial,8,1" ) xt "500,5500,2300,6500" st "U_0" blo "500,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "-6500,1500,-6500,1500" ) header "" ) elements [ ] ) portVis (PortSigDisplay ) entityPath "" archName "" archPath "" ) defaultVerilogComponent (VerilogComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "-450,0,8450,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *98 (Text va (VaSet font "Arial,8,1" ) xt "50,3500,2950,4500" st "Library" blo "50,4300" ) *99 (Text va (VaSet font "Arial,8,1" ) xt "50,4500,7950,5500" st "VerilogComponent" blo "50,5300" ) *100 (Text va (VaSet font "Arial,8,1" ) xt "50,5500,1850,6500" st "U_0" blo "50,6300" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet font "Courier New,8,0" ) xt "-6950,1500,-6950,1500" ) header "" ) elements [ ] ) entityPath "" ) defaultHdlText (HdlText shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,37120" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *101 (Text va (VaSet font "Arial,8,1" ) xt "3150,4000,4850,5000" st "eb1" blo "3150,4800" tm "HdlTextNameMgr" ) *102 (Text va (VaSet font "Arial,8,1" ) xt "3150,5000,3950,6000" st "1" blo "3150,5800" tm "HdlTextNumberMgr" ) ] ) viewicon (ZoomableIcon sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "0,0,1500,1500" iconName "UnknownFile.png" iconMaskName "UnknownFile.msk" ) viewiconposition 0 ) defaultEmbeddedText (EmbeddedText commentText (CommentText ps "CenterOffsetStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,18000,5000" ) text (MLText va (VaSet ) xt "200,200,2000,1200" st " Text " tm "HdlTextMgr" wrapOption 3 visibleHeight 4600 visibleWidth 17600 ) ) ) defaultGlobalConnector (GlobalConnector shape (Circle va (VaSet vasetType 1 fg "65535,65535,0" ) xt "-1000,-1000,1000,1000" radius 1000 ) name (Text va (VaSet font "Arial,8,1" ) xt "-500,-500,500,500" st "G" blo "-500,300" ) ) defaultRipper (Ripper ps "OnConnectorStrategy" shape (Line2D pts [ "0,0" "1000,1000" ] va (VaSet vasetType 1 ) xt "0,0,1000,1000" ) ) defaultBdJunction (BdJunction ps "OnConnectorStrategy" shape (Circle va (VaSet vasetType 1 ) xt "-400,-400,400,400" radius 400 ) ) defaultPortIoIn (PortIoIn shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "-2000,-375,-500,375" ) (Line sl 0 ro 270 xt "-500,0,0,0" pts [ "-500,0" "0,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "-1375,-1000,-1375,-1000" ju 2 blo "-1375,-1000" tm "WireNameMgr" ) ) ) defaultPortIoOut (PortIoOut shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "500,-375,2000,375" ) (Line sl 0 ro 270 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "625,-1000,625,-1000" blo "625,-1000" tm "WireNameMgr" ) ) ) defaultPortIoInOut (PortIoInOut shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Hexagon sl 0 xt "500,-375,2000,375" ) (Line sl 0 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,-375,0,-375" blo "0,-375" tm "WireNameMgr" ) ) ) defaultPortIoBuffer (PortIoBuffer shape (CompositeShape va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" ) optionalChildren [ (Hexagon sl 0 xt "500,-375,2000,375" ) (Line sl 0 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) stc 0 sf 1 tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,-375,0,-375" blo "0,-375" tm "WireNameMgr" ) ) ) defaultSignal (Wire shape (OrthoPolyLine va (VaSet vasetType 3 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 st 0 sf 1 si 0 tg (WTG ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,0,1900,1000" st "sig0" blo "0,800" tm "WireNameMgr" ) ) ) defaultBus (Wire shape (OrthoPolyLine va (VaSet vasetType 3 lineWidth 2 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 sty 1 st 0 sf 1 si 0 tg (WTG ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet ) xt "0,0,2400,1000" st "dbus0" blo "0,800" tm "WireNameMgr" ) ) ) defaultBundle (Bundle shape (OrthoPolyLine va (VaSet vasetType 3 lineColor "32768,0,0" lineWidth 2 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 textGroup (BiTextGroup ps "ConnStartEndStrategy" stg "VerticalLayoutStrategy" first (Text va (VaSet ) xt "0,0,3000,1000" st "bundle0" blo "0,800" tm "BundleNameMgr" ) second (MLText va (VaSet ) xt "0,1000,1000,2000" st "()" tm "BundleContentsMgr" ) ) bundleNet &0 ) defaultPortMapFrame (PortMapFrame ps "PortMapFrameStrategy" shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,10000,12000" ) portMapText (BiTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" first (MLText va (VaSet ) ) second (MLText va (VaSet ) tm "PortMapTextMgr" ) ) ) defaultGenFrame (Frame shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "26368,26368,26368" lineStyle 2 lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (MLText va (VaSet ) xt "0,-1100,12600,-100" st "g0: FOR i IN 0 TO n GENERATE" tm "FrameTitleTextMgr" ) ) seqNum (FrameSequenceNumber ps "TopLeftStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "50,50,1250,1450" ) num (Text va (VaSet ) xt "250,250,1050,1250" st "1" blo "250,1050" tm "FrameSeqNumMgr" ) ) decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *103 (Text va (VaSet font "Arial,8,1" ) xt "14100,20000,22000,21000" st "Frame Declarations" blo "14100,20800" ) *104 (MLText va (VaSet ) xt "14100,21000,14100,21000" tm "BdFrameDeclTextMgr" ) ] ) ) defaultBlockFrame (Frame shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "26368,26368,26368" lineStyle 1 lineWidth 3 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (MLText va (VaSet ) xt "0,-1100,7400,-100" st "b0: BLOCK (guard)" tm "FrameTitleTextMgr" ) ) seqNum (FrameSequenceNumber ps "TopLeftStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "50,50,1250,1450" ) num (Text va (VaSet ) xt "250,250,1050,1250" st "1" blo "250,1050" tm "FrameSeqNumMgr" ) ) decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *105 (Text va (VaSet font "Arial,8,1" ) xt "14100,20000,22000,21000" st "Frame Declarations" blo "14100,20800" ) *106 (MLText va (VaSet ) xt "14100,21000,14100,21000" tm "BdFrameDeclTextMgr" ) ] ) style 3 ) defaultSaCptPort (CptPort ps "OnEdgeStrategy" shape (Triangle ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,1800,1750" st "Port" blo "0,1550" ) ) thePort (LogicalPort decl (Decl n "Port" t "" o 0 ) ) ) defaultSaCptPortBuffer (CptPort ps "OnEdgeStrategy" shape (Diamond va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet ) xt "0,750,1800,1750" st "Port" blo "0,1550" ) ) thePort (LogicalPort m 3 decl (Decl n "Port" t "" o 0 ) ) ) defaultDeclText (MLText va (VaSet font "Courier New,8,0" ) ) archDeclarativeBlock (BdArchDeclBlock uid 1,0 stg "BdArchDeclBlockLS" declLabel (Text uid 2,0 va (VaSet font "Arial,8,1" ) xt "20000,0,25400,1000" st "Declarations" blo "20000,800" ) portLabel (Text uid 3,0 va (VaSet font "Arial,8,1" ) xt "20000,1000,22700,2000" st "Ports:" blo "20000,1800" ) preUserLabel (Text uid 4,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,0,23800,1000" st "Pre User:" blo "20000,800" ) preUserText (MLText uid 5,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "20000,0,20000,0" tm "BdDeclarativeTextMgr" ) diagSignalLabel (Text uid 6,0 va (VaSet font "Arial,8,1" ) xt "20000,2000,27100,3000" st "Diagram Signals:" blo "20000,2800" ) postUserLabel (Text uid 7,0 va (VaSet isHidden 1 font "Arial,8,1" ) xt "20000,0,24700,1000" st "Post User:" blo "20000,800" ) postUserText (MLText uid 8,0 va (VaSet isHidden 1 font "Courier New,8,0" ) xt "20000,0,20000,0" tm "BdDeclarativeTextMgr" ) ) commonDM (CommonDM ldm (LogicalDM suid 16,0 usingSuid 1 emptyRow *107 (LEmptyRow ) uid 276,0 optionalChildren [ *108 (RefLabelRowHdr ) *109 (TitleRowHdr ) *110 (FilterRowHdr ) *111 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *112 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *113 (GroupColHdr tm "GroupColHdrMgr" ) *114 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) *115 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) *116 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) *117 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) *118 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) *119 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) *120 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "SCLK" t "std_logic" o 1 suid 1,0 ) ) uid 245,0 ) *121 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "CS" t "std_logic" o 2 suid 2,0 ) ) uid 247,0 ) *122 (LeafLogPort port (LogicalPort m 4 decl (Decl n "MOSI" t "std_logic" o 3 suid 3,0 i "'0'" ) ) uid 249,0 ) *123 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "data" t "std_logic_vector" b "(15 DOWNTO 0)" o 5 suid 5,0 ) ) uid 253,0 ) *124 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "ready" t "std_logic" o 6 suid 6,0 ) ) uid 255,0 ) *125 (LeafLogPort port (LogicalPort lang 10 m 4 decl (Decl n "busy" t "std_logic" o 7 suid 7,0 ) ) uid 257,0 ) *126 (LeafLogPort port (LogicalPort m 4 decl (Decl n "clk" t "std_logic" o 8 suid 8,0 ) ) uid 259,0 ) *127 (LeafLogPort port (LogicalPort m 4 decl (Decl n "read_temp_register" t "std_logic" o 9 suid 9,0 ) ) uid 261,0 ) *128 (LeafLogPort port (LogicalPort m 4 decl (Decl n "sensor_cs" t "std_logic_vector" b "(3 downto 0)" preAdd 0 posAdd 0 o 10 suid 10,0 ) ) uid 652,0 ) *129 (LeafLogPort port (LogicalPort m 4 decl (Decl n "sio" t "std_logic" preAdd 0 posAdd 0 o 10 suid 14,0 ) ) uid 765,0 ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 289,0 optionalChildren [ *130 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *131 (MRCItem litem &107 pos 10 dimension 20 ) uid 291,0 optionalChildren [ *132 (MRCItem litem &108 pos 0 dimension 20 uid 292,0 ) *133 (MRCItem litem &109 pos 1 dimension 23 uid 293,0 ) *134 (MRCItem litem &110 pos 2 hidden 1 dimension 20 uid 294,0 ) *135 (MRCItem litem &120 pos 0 dimension 20 uid 246,0 ) *136 (MRCItem litem &121 pos 1 dimension 20 uid 248,0 ) *137 (MRCItem litem &122 pos 2 dimension 20 uid 250,0 ) *138 (MRCItem litem &123 pos 3 dimension 20 uid 254,0 ) *139 (MRCItem litem &124 pos 4 dimension 20 uid 256,0 ) *140 (MRCItem litem &125 pos 5 dimension 20 uid 258,0 ) *141 (MRCItem litem &126 pos 6 dimension 20 uid 260,0 ) *142 (MRCItem litem &127 pos 7 dimension 20 uid 262,0 ) *143 (MRCItem litem &128 pos 8 dimension 20 uid 653,0 ) *144 (MRCItem litem &129 pos 9 dimension 20 uid 766,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 295,0 optionalChildren [ *145 (MRCItem litem &111 pos 0 dimension 20 uid 296,0 ) *146 (MRCItem litem &113 pos 1 dimension 50 uid 297,0 ) *147 (MRCItem litem &114 pos 2 dimension 100 uid 298,0 ) *148 (MRCItem litem &115 pos 3 dimension 50 uid 299,0 ) *149 (MRCItem litem &116 pos 4 dimension 100 uid 300,0 ) *150 (MRCItem litem &117 pos 5 dimension 100 uid 301,0 ) *151 (MRCItem litem &118 pos 6 dimension 50 uid 302,0 ) *152 (MRCItem litem &119 pos 7 dimension 80 uid 303,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" uid 290,0 vaOverrides [ ] ) ] ) uid 275,0 ) genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *153 (LEmptyRow ) uid 305,0 optionalChildren [ *154 (RefLabelRowHdr ) *155 (TitleRowHdr ) *156 (FilterRowHdr ) *157 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *158 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *159 (GroupColHdr tm "GroupColHdrMgr" ) *160 (NameColHdr tm "GenericNameColHdrMgr" ) *161 (TypeColHdr tm "GenericTypeColHdrMgr" ) *162 (InitColHdr tm "GenericValueColHdrMgr" ) *163 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) *164 (EolColHdr tm "GenericEolColHdrMgr" ) *165 (LogGeneric generic (GiElement name "SPI_CLK_DIVIDER" type "integer" value "25" ) uid 9,0 ) *166 (LogGeneric generic (GiElement name "SCLK_CYCLES" type "integer" value "24" ) uid 11,0 ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 317,0 optionalChildren [ *167 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *168 (MRCItem litem &153 pos 2 dimension 20 ) uid 319,0 optionalChildren [ *169 (MRCItem litem &154 pos 0 dimension 20 uid 320,0 ) *170 (MRCItem litem &155 pos 1 dimension 23 uid 321,0 ) *171 (MRCItem litem &156 pos 2 hidden 1 dimension 20 uid 322,0 ) *172 (MRCItem litem &165 pos 0 dimension 20 uid 10,0 ) *173 (MRCItem litem &166 pos 1 dimension 20 uid 12,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 323,0 optionalChildren [ *174 (MRCItem litem &157 pos 0 dimension 20 uid 324,0 ) *175 (MRCItem litem &159 pos 1 dimension 50 uid 325,0 ) *176 (MRCItem litem &160 pos 2 dimension 100 uid 326,0 ) *177 (MRCItem litem &161 pos 3 dimension 100 uid 327,0 ) *178 (MRCItem litem &162 pos 4 dimension 50 uid 328,0 ) *179 (MRCItem litem &163 pos 5 dimension 50 uid 329,0 ) *180 (MRCItem litem &164 pos 6 dimension 80 uid 330,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" uid 318,0 vaOverrides [ ] ) ] ) uid 304,0 type 1 ) activeModelName "BlockDiag" )